Mentor v8.6_4 manual

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831

Go to page of

A good user manual

The rules should oblige the seller to give the purchaser an operating instrucion of Mentor v8.6_4, along with an item. The lack of an instruction or false information given to customer shall constitute grounds to apply for a complaint because of nonconformity of goods with the contract. In accordance with the law, a customer can receive an instruction in non-paper form; lately graphic and electronic forms of the manuals, as well as instructional videos have been majorly used. A necessary precondition for this is the unmistakable, legible character of an instruction.

What is an instruction?

The term originates from the Latin word „instructio”, which means organizing. Therefore, in an instruction of Mentor v8.6_4 one could find a process description. An instruction's purpose is to teach, to ease the start-up and an item's use or performance of certain activities. An instruction is a compilation of information about an item/a service, it is a clue.

Unfortunately, only a few customers devote their time to read an instruction of Mentor v8.6_4. A good user manual introduces us to a number of additional functionalities of the purchased item, and also helps us to avoid the formation of most of the defects.

What should a perfect user manual contain?

First and foremost, an user manual of Mentor v8.6_4 should contain:
- informations concerning technical data of Mentor v8.6_4
- name of the manufacturer and a year of construction of the Mentor v8.6_4 item
- rules of operation, control and maintenance of the Mentor v8.6_4 item
- safety signs and mark certificates which confirm compatibility with appropriate standards

Why don't we read the manuals?

Usually it results from the lack of time and certainty about functionalities of purchased items. Unfortunately, networking and start-up of Mentor v8.6_4 alone are not enough. An instruction contains a number of clues concerning respective functionalities, safety rules, maintenance methods (what means should be used), eventual defects of Mentor v8.6_4, and methods of problem resolution. Eventually, when one still can't find the answer to his problems, he will be directed to the Mentor service. Lately animated manuals and instructional videos are quite popular among customers. These kinds of user manuals are effective; they assure that a customer will familiarize himself with the whole material, and won't skip complicated, technical information of Mentor v8.6_4.

Why one should read the manuals?

It is mostly in the manuals where we will find the details concerning construction and possibility of the Mentor v8.6_4 item, and its use of respective accessory, as well as information concerning all the functions and facilities.

After a successful purchase of an item one should find a moment and get to know with every part of an instruction. Currently the manuals are carefully prearranged and translated, so they could be fully understood by its users. The manuals will serve as an informational aid.

Table of contents for the manual

  • Page 1

    FastScan and FlexTest Reference Manual Software Version V8.6_4 Copyright  Mentor Graphics Corporation 1991—1999. All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this e[...]

  • Page 2

    This document is for information and instruction purposes. Mentor Graphics reserves the right to make changes in specifications and other information contained in this publication without prior notice, and the reader should, in all cases, consult Mentor Graphics to determine whether any changes have been made. The terms and conditions governing the[...]

  • Page 3

    Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 iii About This Manual .............................................................................................xvii Overview .............................................................................................................xvii Related Publications .....................[...]

  • Page 4

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 iv Add LFSR Connections ................................................................................. 2-72 Add LFSR Taps.............................................................................................. 2-74 Add LFSRs .....................[...]

  • Page 5

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 v Compress Patterns ........................................................................................ 2-149 Create Initialization Patterns ........................................................................ 2-152 Create Patterns ..............[...]

  • Page 6

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 vi Delete Scan Instances ................................................................................... 2-214 Delete Scan Models...................................................................................... 2-215 Delete Slow Pad .............[...]

  • Page 7

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 vii Report Drc Rules .......................................................................................... 2-285 Report Environment ..................................................................................... 2-293 Report Failures ..........[...]

  • Page 8

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 viii Report Scan Chains ...................................................................................... 2-368 Report Scan Groups ..................................................................................... 2-369 Report Scan Instances .....[...]

  • Page 9

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 ix Set Clock_off Simulation ............................................................................. 2-454 Set Clockpo Patterns .................................................................................... 2-455 Set Contention Check ..........[...]

  • Page 10

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 x Set Output Comparison ................................................................................ 2-541 Set Output Mask ........................................................................................... 2-543 Set Pathdelay Holdpi .........[...]

  • Page 11

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xi Set Z Handling ............................................................................................. 2-599 Set Zhold Behavior ...................................................................................... 2-601 Set Zoom Factor .........[...]

  • Page 12

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xii Chapter 3 Shell Commands .................................................................................................. 3-1 Shell Command Descriptions ............................................................................. 3-1 fastscan .....[...]

  • Page 13

    TABLE OF CONTENTS [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xiii SET STROBE_WINDOW TIME ..................................................................A-20 SET TIME SCALE ........................................................................................ A-22 TIMEPLATE......................................[...]

  • Page 14

    Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xiv Figure 1. DFT Documentation Roadmap ........................................................... xix Figure 2-1. MISR placement ........................................................................... 2-72 Figure 5-1. Master and Slave Workstations ...............................[...]

  • Page 15

    Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xv Table 2-1. Command Summary ......................................................................... 2-1 Table 2-2. Fault Class Codes and Names ...................................................... 2-299 Table 2-3. Reportable Gate Types ............................................[...]

  • Page 16

    LIST OF TABLES [continued] Table of Contents FastScan and FlexTest Reference Manual, V8.6_4 xvi[...]

  • Page 17

    About This Manual Overview FastScan and FlexTest Reference Manual, V8.6_4 xvii About This Manual Overview FastScan and FlexTest are Mentor Graphics ATPG tools which are an integral part of the Mentor Graphics Design-For-Test solution. FastScan is a comprehensive combinational Automatic Test Pattern Generation (ATPG) system optimized for full scan d[...]

  • Page 18

    FastScan and FlexTest Reference Manual, V8.6_4 xviii Overview About This Manual • Chapter 3 — Shell Commands - lists the detailed information on the FastScan, FlexTest, and DFTInsight invocation commands. • Chapter 4 — Test Pattern File Formats - describes the test pattern file format. • Chapter 5 — Distributed FlexTest - describes how [...]

  • Page 19

    About This Manual Related Publications FastScan and FlexTest Reference Manual, V8.6_4 xix Related Publications This section gives references to both Mentor Graphics product documentation and industry DFT documentation. Mentor Graphics Documentation Figure 1 shows the Mentor Graphics DFT manuals and their relationship to each other and is followed b[...]

  • Page 20

    FastScan and FlexTest Reference Manual, V8.6_4 xx Related Publications About This Manual Built-in Self-Test Process Guide — provides process, concept, and procedure information for using MBISTArchitect, LBISTArchitect, and other Mentor Graphics tools in the context of your BIST design process. Design-for-Test Common Resources Manual — contains [...]

  • Page 21

    About This Manual Acronyms Used in This Manual FastScan and FlexTest Reference Manual, V8.6_4 xxi Acronyms Used in This Manual Below is an alphabetical listing of the acronyms used in this manual: ASIC - Application Specific IC ATE - Automatic Test Equipment ATPG - Automatic Test Pattern Generation AU - ATPG_Untestable fault AVI - ASIC Vector Inter[...]

  • Page 22

    FastScan and FlexTest Reference Manual, V8.6_4 xxii Acronyms Used in This Manual About This Manual GENIE - General Interpreted Environment IDDQ - Quiescent Drain Current I/O - Input/Output JTAG - Joint Test Action Group LFSR - Linear Feedback Shift Register LSSD - Level Sensitive Scan Design MCM - Multi-Chip Module MISR - Multiple Input Signature R[...]

  • Page 23

    About This Manual Command Line Syntax Conventions FastScan and FlexTest Reference Manual, V8.6_4 xxiii Command Line Syntax Conventions Each point-tool manual will include the following notation conventions section in the ATM chapter. For more information on Mentor Graphics documentation conventions, see the “ Mentor Graphics Learning Products Sty[...]

  • Page 24

    FastScan and FlexTest Reference Manual, V8.6_4 xxiv Command Line Syntax Conventions About This Manual[...]

  • Page 25

    FastScan and FlexTest Reference Manual, V8.6_4 1-1 Chapter 1 Introduction FastScan and FlexTest are Mentor Graphics high-performance Automatic Test Pattern Generation (ATPG) tools. FastScan performs full-scan and scan- sequential ATPG, while FlexTest performs sequential ATPG. These are two of several tools in the Mentor Graphics Design-for-Test (DF[...]

  • Page 26

    FastScan and FlexTest Reference Manual, V8.6_4 1-2 Inputs and Outputs Introduction • Contains functionality for handling embedded RAM and ROM. • Contains functionality for simulating and generating test pattern sets for BIST circuitry. FlexTest-specific features include the following: • Supports a wide range of DFT structures. • Can display[...]

  • Page 27

    Introduction Inputs and Outputs FastScan and FlexTest Reference Manual, V8.6_4 1-3 FastScan and FlexTest produce the following outputs: • Test Patterns - This file set contains test patterns in one or more of the supported simulator or ASIC vendor pattern formats. For more information on the available test pattern formats, refer to the Save Patte[...]

  • Page 28

    FastScan and FlexTest Reference Manual, V8.6_4 1-4 Inputs and Outputs Introduction[...]

  • Page 29

    FastScan and FlexTest Reference Manual, V8.6_4 2-1 Chapter 2 Command Dictionary This chapter contains descriptions of the FastScan, FlexTest, and DFTInsight commands. The subsections are named for the command they describe. For quick reference, the commands appear alphabetically with each beginning on a separate page. Command Summary Table 2-1 cont[...]

  • Page 30

    FastScan and FlexTest Reference Manual, V8.6_4 2-2 Command Summary Command Dictionary Add Atpg Functions •• Creates an ATPG function that you can then use when generating user-defined ATPG constraints. Add Capture Handling • Specifies the data capturing behavior for the given state element. Add Cell Constraints •• Constrains scan cells to[...]

  • Page 31

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-3 Add Initial States • Specifies an initial state for the selected sequential instance. Add LFSR Connections • Connects an external pin to a Linear Feedback Shift Register (LFSR). Add LFSR Taps • Adds the tap configuration to a Linear Feedback Shift Register ([...]

  • Page 32

    FastScan and FlexTest Reference Manual, V8.6_4 2-4 Command Summary Command Dictionary Add Output Masks •• Ignores any fault effects that propagate to the primary output pins you name. Add Pin Constraints •• Adds pin constraints to primary inputs and input channel to I/O pins. Add Pin Equivalences •• Adds restrictions to primary inputs s[...]

  • Page 33

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-5 Analyze Atpg Constraints • • Specifies for FastScan or FlexTest to check the ATPG constraints you’ve created for their satisfiability or for their mutual exclusivity. Analyze Bus •• Causes the tool to analyze the specified bus gates for contention proble[...]

  • Page 34

    FastScan and FlexTest Reference Manual, V8.6_4 2-6 Command Summary Command Dictionary Create Patterns • Automates good ATPG compression flow. Delete Atpg Constraints •• Removes the state restrictions from the specified objects. Delete Atpg Functions •• Removes the specified function definitions. Delete Capture Handling • Removes the spe[...]

  • Page 35

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-7 Delete LFSRs • Removes the specified Linear Feedback Shift Registers (LFSRs). Delete Lists •• Removes the specified pins from the pin list that the tool monitors while in the Fault or Good simulation system mode. Delete Mos Direction •• Resets the VDD or[...]

  • Page 36

    FastScan and FlexTest Reference Manual, V8.6_4 2-8 Command Summary Command Dictionary Delete Pin Equivalences •• Removes the pin equivalence specifications for the designated primary input pins. Delete Pin Strobes • Removes the strobe time from the specified primary output pins. Delete Primary Inputs •• Removes the specified primary input[...]

  • Page 37

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-9 Diagnose Failures • Diagnoses the failing patterns that the specified file identifies. Dofile •• Executes the commands contained within the specified file. Exit •• Terminates the application tool program. Extract Subckts •• Performs matching and conv[...]

  • Page 38

    FastScan and FlexTest Reference Manual, V8.6_4 2-10 Command Summary Command Dictionary Open Schematic Viewer •• Invokes the optional schematic viewing application, DFTInsight. Read Modelfile •• Initializes the specified RAM or ROM gate using the memory states contained in the named modelfile. Read Procfile •• Reads the specified new enh[...]

  • Page 39

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-11 Report Clocks •• Displays a list of all the primary input pins currently in the clock list. Report Cone Blocks •• Displays the current user-defined output pin pathnames that the tool uses to calculate the clock and effect cones. Report Control Data • Di[...]

  • Page 40

    FastScan and FlexTest Reference Manual, V8.6_4 2-12 Command Summary Command Dictionary Report Flatten Rules ••• Displays either a summary of all the flattening rule violations or the data for a specific violation. Report Gates •• Displays the netlist information for the specified gates. Report Hosts • Displays information on the hosts a[...]

  • Page 41

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-13 Report Nofaults •• Displays the nofault settings for the specified pin pathnames or pin names of instances. Report Nonscan Cells •• Displays the non-scan cells whose model type you specify. Report Nonscan Handling • Displays the overriding learned behav[...]

  • Page 42

    FastScan and FlexTest Reference Manual, V8.6_4 2-14 Command Summary Command Dictionary Report Primary Outputs •• Displays the specified primary outputs. Report Procedure •• Displays the specified procedure. Report Pulse Generators •• Displays the list of pulse generator sink (PGS) gates. Report Random Weights • Displays the current ra[...]

  • Page 43

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-15 Report Test Stimulus • Displays the stimulus necessary to satisfy the specified set, write, or read conditions. Report Testability Data •• Analyzes collapsed faults for the specified fault class and displays the analysis. Report Tied Signals •• Displays[...]

  • Page 44

    FastScan and FlexTest Reference Manual, V8.6_4 2-16 Command Summary Command Dictionary Select Iddq Patterns •• Selects the patterns that most effectively detect IDDQ faults. Select Object ••• Selects the specified objects in the design. Set Abort Limit •• Specifies the abort limit for the test pattern generator. Set Atpg Compression ?[...]

  • Page 45

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-17 Set Capture Handling • Specifies how FastScan globally handles the data capture of state elements that have C3 and C4 rule violations. Set Capture Limit • Specifies the number of test cycles between two consecutive scan operations. Set Checkpoint •• Speci[...]

  • Page 46

    FastScan and FlexTest Reference Manual, V8.6_4 2-18 Command Summary Command Dictionary Set Driver Restriction •• Specifies whether the tool allows multiple drivers on buses and multiple active ports on gates. Set Fails Report •• Specifies whether the design rules checker displays clock rule failures. Set Fault Mode •• Specifies whether [...]

  • Page 47

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-19 Set Instancename Visibility ••• Specifies whether DFTInsight displays instance names immediately above each instance in the Schematic View area. Set Instruction Atpg • Specifies whether FlexTest generates instruction-based test vectors using the random AT[...]

  • Page 48

    FastScan and FlexTest Reference Manual, V8.6_4 2-20 Command Summary Command Dictionary Set Multiple Load • Specifies how the tool handles multiple scan loads. Set Net Dominance •• Specifies the fault effect of bus contention on tri-state nets. Set Net Resolution •• Specifies the behavior of multi-driver nets. Set Nonscan Model • Specifi[...]

  • Page 49

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-21 Set Possible Credit •• Specifies the percentage of credit that the tool assigns possible-detected faults. Set Procedure Cycle_checking •• Enables test procedure cycle timing checking to be done immediately following scan chain tracing during design rules [...]

  • Page 50

    FastScan and FlexTest Reference Manual, V8.6_4 2-22 Command Summary Command Dictionary Set Redundancy Identification • Specifies whether FlexTest performs the checks for redundant logic when leaving the Setup mode. Set Schematic Display ••• Changes the default schematic display environment settings for DFTInsight. Set Screen Display •• [...]

  • Page 51

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-23 Set Stability Check • Specifies whether the tool checks the effect of applying the main shift procedure on non-scan cells. Set Static Learning •• Specifies whether FastScan or FlexTest performs the learning analysis to make the ATPG process more efficient. [...]

  • Page 52

    FastScan and FlexTest Reference Manual, V8.6_4 2-24 Command Summary Command Dictionary Set Z Handling •• Specifies how the tool handles high impedance signals for internal and external tri-state nets. Set Zhold Behavior • Specifies whether ZHOLD gates retain their state values. Set Zoom Factor ••• Specifies the scale factor that the zoo[...]

  • Page 53

    Command Dictionary Command Summary FastScan and FlexTest Reference Manual, V8.6_4 2-25 Unmark ••• Removes the highlighting from the specified object in Schematic View window. Unselect Object ••• Removes the specified objects from the selection list. Update Implication Detections •• Performs an analysis on the undetected and possibly[...]

  • Page 54

    FastScan and FlexTest Reference Manual, V8.6_4 2-26 Command Summary Command Dictionary Write Loops •• Writes a list of all the current loops to a file. Write Modelfile •• Writes all internal states for a RAM or ROM gate into the file that you specify. Write Netlist •• Writes the modified or new format netlist to the specified file. Writ[...]

  • Page 55

    Command Dictionary Command Descriptions FastScan and FlexTest Reference Manual, V8.6_4 2-27 Command Descriptions The remaining pages in this chapter describe, in alphabetical order, the commands used either in FastScan or FlexTest. Each command description begins on a new page and contains a line indicating the applications that are supported. The [...]

  • Page 56

    FastScan and FlexTest Reference Manual, V8.6_4 2-28 Abort Interrupted Process Command Dictionary Abort Interrupted Process Tools Supported: FlexTest Scope: All modes Prerequisites: The Set Interrupt Handling command must be on and you must interrupt a FlexTest command with a Control-C. Usage ABOrt INterrupted Process Description Aborts a command pl[...]

  • Page 57

    Command Dictionary Add Ambiguous Paths FastScan and FlexTest Reference Manual, V8.6_4 2-29 Add Ambiguous Paths Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: This command supports the path delay fault model. Usage ADD AMbiguous Paths { path_name | -All } [-Max_paths number ] Description Specifies for FastScan to select [...]

  • Page 58

    FastScan and FlexTest Reference Manual, V8.6_4 2-30 Add Ambiguous Paths Command Dictionary Examples The following example loads in a path definition file and changes the maximum number of paths to five: load paths add ambiguous paths -all -max_paths 5 Related Commands Load Paths[...]

  • Page 59

    Command Dictionary Add Atpg Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-31 Add Atpg Constraints Tools Supported: FastScan and FlexTest Scope: All modes Prerequisites: You can use this command only after the tool flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue th[...]

  • Page 60

    FastScan and FlexTest Reference Manual, V8.6_4 2-32 Add Atpg Constraints Command Dictionary If you change an ATPG constraint for a single internal set of patterns, the tool continues pattern compression using the new constraints, which can cause the tool to reject good patterns. Therefore, you should remove all ATPG constraints before compressing t[...]

  • Page 61

    Command Dictionary Add Atpg Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-33 • 0 | 1 | Z A literal that restricts the named object to a low state, high state, or high impedance state, respectively. The following lists the four methods for naming the objects on which you wish to place the constraint. You can use any number of the fo[...]

  • Page 62

    FastScan and FlexTest Reference Manual, V8.6_4 2-34 Add Atpg Constraints Command Dictionary • -Dynamic An optional switch specifying that the tool only need satisfy the ATPG constraints during the ATPG process and not during design rules checking. You can change these constraints during the ATPG process, therefore, Design Rules Checking (DRC) doe[...]

  • Page 63

    Command Dictionary Add Atpg Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-35 Related Commands Add Atpg Functions Delete Atpg Constraints Report Atpg Constraints[...]

  • Page 64

    FastScan and FlexTest Reference Manual, V8.6_4 2-36 Add Atpg Functions Command Dictionary Add Atpg Functions Tools Supported: FastScan and FlexTest Scope: All modes (except for some FlexTest options) Prerequisites: You can use this command only after the tool flattens the design to the simulation model, which happens when you first attempt to exit [...]

  • Page 65

    Command Dictionary Add Atpg Functions FastScan and FlexTest Reference Manual, V8.6_4 2-37 ATPG constraints with this temporal function option. The -Init_state switch allows you to specify initial values when using Frame or Cycle functions. The Add Atpg Functions command also lets you add ATPG functions to a net. Thus, if the circuit structure chang[...]

  • Page 66

    FastScan and FlexTest Reference Manual, V8.6_4 2-38 Add Atpg Functions Command Dictionary Select — The output of the function is a high state (1) if all its inputs are at a low state (0) or if one input is at a high state and the other inputs are at a low state. So, the function’s output is at a low state if there are at least two inputs at a h[...]

  • Page 67

    Command Dictionary Add Atpg Functions FastScan and FlexTest Reference Manual, V8.6_4 2-39 • function_name A repeatable string that specifies the name of another function you created with the Add Atpg Functions command. The function_name argument cannot be the same as any pin name in the design. • -Cell cell_name { pin_name | net_name (FlexTest [...]

  • Page 68

    FastScan and FlexTest Reference Manual, V8.6_4 2-40 Add Capture Handling Command Dictionary Add Capture Handling Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Mod[...]

  • Page 69

    Command Dictionary Add Capture Handling FastScan and FlexTest Reference Manual, V8.6_4 2-41 Arguments You must choose one of the following three literals to indicate the data capture handling behavior for the specified state elements: • Old A literal specifying that the source state elements determine their output values for data capture by using[...]

  • Page 70

    FastScan and FlexTest Reference Manual, V8.6_4 2-42 Add Capture Handling Command Dictionary • instance_name A repeatable string that specifies the name of an RAM instance within the design. • -Cell cell_name A repeatable switch and string pair that specifies the name of a cell. • -SInk An optional switch specifying that the state element you [...]

  • Page 71

    Command Dictionary Add Cell Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-43 Add Cell Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD CEll Constraints { pin_pathname | { chain_name cell_position }} C0 | C1 | CX | Ox | Xx Description Constrains scan cells to be at a constant value. The Add Cell Constrain[...]

  • Page 72

    FastScan and FlexTest Reference Manual, V8.6_4 2-44 Add Cell Constraints Command Dictionary An error condition occurs if the pin pathname does not resolve to a scan memory element. Buffers and inverters may reside between the pin and the memory element. • chain_name cell_position A string pair that specifies the name of the scan chain and the pos[...]

  • Page 73

    Command Dictionary Add Cell Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-45 Examples The following example constrains a scan cell in the scan chain to be at a constant one: add scan groups group1 proc.g1 add scan chains chain1 group1 scanin1 scanout1 add clocks 0 clock1 add cell constraints chain1 5 c1 report cell constraints set sy[...]

  • Page 74

    FastScan and FlexTest Reference Manual, V8.6_4 2-46 Add Cell Library Command Dictionary Add Cell Library Scope: All modes Prerequisites: This command is only useful when writing out an EDIF netlist. Usage ADD CEll Library library_name {{ -Model model_name } | -All } Description Specifies the EDIF library in which to place all or specified library m[...]

  • Page 75

    Command Dictionary Add Clocks FastScan and FlexTest Reference Manual, V8.6_4 2-47 Add Clocks Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD CLocks off_state primary_input_pin ... Description Adds clock primary inputs to the clock list. The Add Clocks command adds scan or non-scan clock pins to the clock list for proper scan oper[...]

  • Page 76

    FastScan and FlexTest Reference Manual, V8.6_4 2-48 Add Clocks Command Dictionary Examples The following example adds a scan clock to the clock list with on off-state for proper scan operation: add scan groups group1 proc.g1 add scan chains chain1 group1 scin1 scout1 add clocks 1 clock1 Related Commands Delete Clocks Report Clocks Set Clock Restric[...]

  • Page 77

    Command Dictionary Add Cone Blocks FastScan and FlexTest Reference Manual, V8.6_4 2-49 Add Cone Blocks Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD COne Blocks pin_pathname ... [- Both | -Clock | -Effect] [-CEll cell_name ] Description Specifies the blockage points that you want the tool to use during the calculation of the cl[...]

  • Page 78

    FastScan and FlexTest Reference Manual, V8.6_4 2-50 Add Cone Blocks Command Dictionary • -Effect An optional switch specifying that the cone blockage point is only for the effect cone calculation. • -CEll cell_name An optional switch and string pair that specify the name of a DFT library cell at whose pin_pathnames you want the tool to place cl[...]

  • Page 79

    Command Dictionary Add Control Points FastScan and FlexTest Reference Manual, V8.6_4 2-51 Add Control Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ADD COntrol Points pin_pathname ... [-Type { Xor | And | Or}] [-Group] Description Adds control points to output pins. The Add Control Points command adds control points to t[...]

  • Page 80

    FastScan and FlexTest Reference Manual, V8.6_4 2-52 Add Control Points Command Dictionary And — A literal specifying that FastScan perform an AND of the cell output pins and random values. Or — A literal specifying that FastScan perform an OR of the cell output pins and random values. • -Group An optional switch specifying for the tool to ass[...]

  • Page 81

    Command Dictionary Add Display Instances FastScan and FlexTest Reference Manual, V8.6_4 2-53 Add Display Instances Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model of the design. The design flattening happe[...]

  • Page 82

    FastScan and FlexTest Reference Manual, V8.6_4 2-54 Add Display Instances Command Dictionary unique identification number that the tool automatically assigns to every gate within the design during the model flattening process. You can optionally specify an input or output pin identification number for each gate by appending one of the following swi[...]

  • Page 83

    Command Dictionary Add Display Instances FastScan and FlexTest Reference Manual, V8.6_4 2-55 • -Backward An optional switch specifying that the trace from the given objects is backward, towards the primary input pins. If you do not explicitly specify a stopping_point switch in combination with this switch, the command default is for the backward [...]

  • Page 84

    FastScan and FlexTest Reference Manual, V8.6_4 2-56 Add Display Instances Command Dictionary Examples The following paragraphs provide examples that use the Add Display Instances command to display various gates. The first example invokes DFTInsight, then displays a single gate by specifying the gate identification number (51). open schematic viewe[...]

  • Page 85

    Command Dictionary Add Display Loop FastScan and FlexTest Reference Manual, V8.6_4 2-57 Add Display Loop Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: You can use this command only after the tool performs the learning process, which happens immediately after flatteni[...]

  • Page 86

    FastScan and FlexTest Reference Manual, V8.6_4 2-58 Add Display Loop Command Dictionary Arguments • pin_pathname A string that specifies the pin_pathname of a feedback path gate. When you specify a gate pin name, DFTInsight displays the complete feedback path in which the gate resides. • feedback_id# A repeatable integer that specifies the iden[...]

  • Page 87

    Command Dictionary Add Display Loop FastScan and FlexTest Reference Manual, V8.6_4 2-59 Related Commands Report Feedback Paths Set Loop Handling[...]

  • Page 88

    FastScan and FlexTest Reference Manual, V8.6_4 2-60 Add Display Path Command Dictionary Add Display Path Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model of the design. The design flattening happens when yo[...]

  • Page 89

    Command Dictionary Add Display Path FastScan and FlexTest Reference Manual, V8.6_4 2-61 FastScan Specifics When using FastScan you can optionally display delay paths that reside in a path definition file. To do so, simply use the -Delay_path switch and the path name. You can display a list of all the paths and their names by using the Report Paths [...]

  • Page 90

    FastScan and FlexTest Reference Manual, V8.6_4 2-62 Add Display Path Command Dictionary If you only specify the gate_id_begin# , then the tool assumes the path is a feedback path. If the tool does not find a feedback path, then it displays an error message. • instance_name_end An optional string specifying the name of the last gate instance in th[...]

  • Page 91

    Command Dictionary Add Display Scanpath FastScan and FlexTest Reference Manual, V8.6_4 2-63 Add Display Scanpath Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model of the design. The design flattening happens[...]

  • Page 92

    FastScan and FlexTest Reference Manual, V8.6_4 2-64 Add Display Scanpath Command Dictionary When DFTInsight generates a large schematic, it may take several minutes. You can terminate a lengthy generation by entering Control-C in the DFTInsight window. This causes the display to revert back to the previously viewed schematic. If you enter Control-C[...]

  • Page 93

    Command Dictionary Add Display Scanpath FastScan and FlexTest Reference Manual, V8.6_4 2-65 Examples The following example invokes DFTInsight, then displays a portion of a scan chain from its primary input gate to its eighth cell from the scan chain output: open schematic viewer add display scanpath chain1 sci 8 The next example displays the logic [...]

  • Page 94

    FastScan and FlexTest Reference Manual, V8.6_4 2-66 Add Faults Command Dictionary Add Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan Path Delay Faults Usage: ADD FAults { object_pathname ... | - All } [-Both | -Rise | -Fall] Stuck/Toggle/Iddq Faults Usage: ADD FAults { object_pathname ... | - All[...]

  • Page 95

    Command Dictionary Add Faults FastScan and FlexTest Reference Manual, V8.6_4 2-67 • -Stuck_at 01 | 0 | 1 An optional switch and literal pair that specifies which stuck-at faults to add to the fault list. The stuck-at values are as follows: 01 — A literal specifying that the tool add both the “stuck-at-0” and “stuck- at-1” faults. This i[...]

  • Page 96

    FastScan and FlexTest Reference Manual, V8.6_4 2-68 Add Iddq Constraints Command Dictionary Add Iddq Constraints Tools Supported: FastScan and FlexTest FastScan Scope: Setup mode FlexTest Scope: All modes Usage ADD IDdq Constraints { C0 | C1 | CZ } pinname ... [-Model modelname ] Description Sets constraints for generation or selection of IDDQ patt[...]

  • Page 97

    Command Dictionary Add Iddq Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-69 • pinname A required repeatable string that specifies the internal pin path where you want to place the constraint. • -Model modelname An optional switch and string pair that specifies the DFT library model of which the pinname argument is a pin. Example[...]

  • Page 98

    FastScan and FlexTest Reference Manual, V8.6_4 2-70 Add Initial States Command Dictionary Add Initial States Tools Supported: FlexTest Scope: Setup mode Usage ADD INitial States { 0 | 1 | X } instance_pathname ... Description Specifies an initial state for the selected sequential instance. You can also initialize states using the test_setup procedu[...]

  • Page 99

    Command Dictionary Add Initial States FastScan and FlexTest Reference Manual, V8.6_4 2-71 Examples The following example initializes two flip flop instances to a low state: add initial state 0 /amm/g30/ff0 /amm/g29/ff0 Related Commands Delete Initial States Report Initial States Write Initial States[...]

  • Page 100

    FastScan and FlexTest Reference Manual, V8.6_4 2-72 Add LFSR Connections Command Dictionary Add LFSR Connections Tools Supported: FastScan Scope: Setup mode Usage ADD LFsr Connections primary_pin lfsr_name position ... Description Connects an external pin to a Linear Feedback Shift Register (LFSR). The Add LFSR Connections command connects a core l[...]

  • Page 101

    Command Dictionary Add LFSR Connections FastScan and FlexTest Reference Manual, V8.6_4 2-73 Arguments • primary_pin A required string that specifies the name of the core logic pin that you want to connect to the LFSR specified by lfsr_name . • lfsr_name A required string that specifies the name of the LFSR to which you want to connect the prima[...]

  • Page 102

    FastScan and FlexTest Reference Manual, V8.6_4 2-74 Add LFSR Taps Command Dictionary Add LFSR Taps Tools Supported: FastScan Scope: Setup mode Usage ADD LFsr Taps lfsr_name position ... Description Adds the tap configuration to a Linear Feedback Shift Register (LFSR). The Add LFSR Taps command sets the tap configuration of an LFSR. LFSR bit positio[...]

  • Page 103

    Command Dictionary Add LFSR Taps FastScan and FlexTest Reference Manual, V8.6_4 2-75 Related Commands Add LFSRs Delete LFSR Taps Report LFSRs Setup LFSRs[...]

  • Page 104

    FastScan and FlexTest Reference Manual, V8.6_4 2-76 Add LFSRs Command Dictionary Add LFSRs Tools Supported: FastScan Scope: Setup mode Usage ADD LFsrs lfsr_name { Prpg | Misr } length seed [- Both | -Serial | -Parallel] [- Out | -In] Description Adds Linear Feedback Shift Registers (LFSRs) for use as Pseudo-Random Pattern Generators (PRPGs) or Mult[...]

  • Page 105

    Command Dictionary Add LFSRs FastScan and FlexTest Reference Manual, V8.6_4 2-77 • seed A required, right-justified, hexadecimal number, greater than 0, specifying the initial state of the LFSR. The following lists the three shift_type switches of which you can choose only one. • -Both An optional switch specifying that the LFSR shifts both ser[...]

  • Page 106

    FastScan and FlexTest Reference Manual, V8.6_4 2-78 Add LFSRs Command Dictionary Related Commands Add LFSR Taps Add LFSR Connections Delete LFSRs Report LFSRs Setup LFSRs[...]

  • Page 107

    Command Dictionary Add Lists FastScan and FlexTest Reference Manual, V8.6_4 2-79 Add Lists Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage ADD LIsts pin_pathname ... Description Adds pins to the list of pins on which to report. The Add Lists command adds pins to a list of pins on which to report, and is useful when d[...]

  • Page 108

    FastScan and FlexTest Reference Manual, V8.6_4 2-80 Add Lists Command Dictionary The following is an example list file reporting on one pin in the Good system mode: // /I_1006/O // | //------- // 0 1 // 1 1 // 2 0 // 3 0 // 4 1 // 5 1 The Good system mode shows the good value of the pin. FastScan shows the values at each test pattern; FlexTest show[...]

  • Page 109

    Command Dictionary Add Mos Direction FastScan and FlexTest Reference Manual, V8.6_4 2-81 Add Mos Direction Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage ADD MOs Direction subckt_name instance_name source_port drain_port Description Assigns the direction of a bi-directi[...]

  • Page 110

    FastScan and FlexTest Reference Manual, V8.6_4 2-82 Add Mos Direction Command Dictionary Related Commands Extract Subckts Delete Mos Direction Report Mos Direction[...]

  • Page 111

    Command Dictionary Add Net Property FastScan and FlexTest Reference Manual, V8.6_4 2-83 Add Net Property Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage ADD NEt Property net_name { -VDD | -GND } Description Defines the net in the Spice design and library as VDD or GND. T[...]

  • Page 112

    FastScan and FlexTest Reference Manual, V8.6_4 2-84 Add Nofaults Command Dictionary Add Nofaults Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD NOfaults { pathname ... [-Instance | -Module] [-Stuck_at { 01 | 0 | 1}]} [-Keep_boundary] Description Places nofault settings either on pin pathnames, pin names of specified instances, o[...]

  • Page 113

    Command Dictionary Add Nofaults FastScan and FlexTest Reference Manual, V8.6_4 2-85 • -Instance An optional switch specifying that the pathname arguments are instance pathnames. In this case, the command places nofault settings on all boundary and internal pins of the specified instances (unless the -Keep_boundary switch is used). • -Module An [...]

  • Page 114

    FastScan and FlexTest Reference Manual, V8.6_4 2-86 Add Nofaults Command Dictionary The next example places nofault settings on all the design pins within all instances of wired cone logic, adds all faults to the circuit, and performs an ATPG run such that FastScan places nofaults on the wired cone logic pins: set system mode atpg add nofault -wire[...]

  • Page 115

    Command Dictionary Add Nonscan Handling FastScan and FlexTest Reference Manual, V8.6_4 2-87 Add Nonscan Handling Tools Supported: FlexTest Scope: Setup mode Prerequisites: Your design must have scan in order to be able to add nonscan handling. Usage ADD NOnscan Handling learned_behavior element_pathname ... [ -Instance | -Module] Description Overri[...]

  • Page 116

    FastScan and FlexTest Reference Manual, V8.6_4 2-88 Add Nonscan Handling Command Dictionary Hold — A literal that specifies for the state of this type of element to remain undisturbed when FlexTest operates the scan chain. INITX — A literal specifying that the logic state of the non-scan element is unknown when FlexTest finishes operating the s[...]

  • Page 117

    Command Dictionary Add Notest Points FastScan and FlexTest Reference Manual, V8.6_4 2-89 Add Notest Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ADD NOtest Points pin_pathname ... Description Adds circuit points to list for exclusion from testability insertion. The Add Notest Points command excludes the specified cell o[...]

  • Page 118

    FastScan and FlexTest Reference Manual, V8.6_4 2-90 Add Observe Points Command Dictionary Add Observe Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ADD OBserve Points pin_pathname ... Description Adds observe points to output pins. The Add Observe Points command adds observe points to the output pins of cells, providing [...]

  • Page 119

    Command Dictionary Add Observe Points FastScan and FlexTest Reference Manual, V8.6_4 2-91 Related Commands Analyze Observe Delete Observe Points Report Observe Data Report Observe Points[...]

  • Page 120

    FastScan and FlexTest Reference Manual, V8.6_4 2-92 Add Output Masks Command Dictionary Add Output Masks Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD OUtput Masks primary_output ... Description Ignores any fault effects that propagate to the primary output pins you name. The tool uses primary output pins as the observe points [...]

  • Page 121

    Command Dictionary Add Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-93 Add Pin Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD PIn Constraints primary_input_pin ... constraint_format Description Adds pin constraints to primary inputs and input channel to I/O pins. The Add Pin Constraints command pe[...]

  • Page 122

    FastScan and FlexTest Reference Manual, V8.6_4 2-94 Add Pin Constraints Command Dictionary You can force constrained pins in test procedures to the opposite of the constrained value, provided you put the pin back again to its constrained value by the end of the procedure. The DRC process keeps track of which pins are forced to the opposite of their[...]

  • Page 123

    Command Dictionary Add Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-95 Group 3 Return-one waveform; the pin value may fall to a 0 and then return to a 1. Includes the R1, SR1, and CR1 constraint formats. Group 3 waveforms require you to specify the period , offset , and pulse width . The “Arguments” subsection that follows d[...]

  • Page 124

    FastScan and FlexTest Reference Manual, V8.6_4 2-96 Add Pin Constraints Command Dictionary FlexTest Specifics: If the value of the pins change during the scan operation, FlexTest uses the non-return waveform. R0 period offset width (FlexTest Only) — A literal and three integer quadruplet that specifies application of one positive pulse per period[...]

  • Page 125

    Command Dictionary Add Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-97 Examples FastScan Example The following FastScan example constrains two primary inputs to be at a constant. add pin constraints indata2 c1 add pin constraints indata4 c0 FlexTest Example The following FlexTest example adds a cycle behavior constraint to a pri[...]

  • Page 126

    FastScan and FlexTest Reference Manual, V8.6_4 2-98 Add Pin Equivalences Command Dictionary Add Pin Equivalences Tools Supported: FastScan and FlexTest Scope: Setup mode Usage For FastScan ADD PIn Equivalences reference_pin { equivalent_pin ... | { -Invert inverted_pin ...}}... For FlexTest ADD PIn Equivalences target_pin ... [-Invert] reference_pi[...]

  • Page 127

    Command Dictionary Add Pin Equivalences FastScan and FlexTest Reference Manual, V8.6_4 2-99 Arguments • reference_pin A required string specifying the name of the primary input pin whose value you want the tool to use when determining the state value of the other named primary input pins. • equivalent_pin (FastScan Only) A repeatable string tha[...]

  • Page 128

    FastScan and FlexTest Reference Manual, V8.6_4 2-100 Add Pin Equivalences Command Dictionary FlexTest Example add pin equivalencesindata3 indata2 add pin equivalences indata4 -invert indata2 Related Commands Delete Pin Equivalences Report Pin Equivalences[...]

  • Page 129

    Command Dictionary Add Pin Strobes FastScan and FlexTest Reference Manual, V8.6_4 2-101 Add Pin Strobes Tools Supported: FlexTest Scope: Setup mode Usage ADD PIn Strobes strobe_time primary_output_pin ... [-Period integer ] Description Adds strobe time to the primary outputs. The Add Pin Strobes command adds a strobe time for each test cycle of the[...]

  • Page 130

    FastScan and FlexTest Reference Manual, V8.6_4 2-102 Add Pin Strobes Command Dictionary Related Commands Delete Pin Strobes Report Pin Strobes Setup Pin Strobes[...]

  • Page 131

    Command Dictionary Add Primary Inputs FastScan and FlexTest Reference Manual, V8.6_4 2-103 Add Primary Inputs Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD PRimary Inputs net_pathname ... [-Cut] [-Module] Description Adds primary inputs. The Add Primary Inputs command adds an additional primary input to each specified net. Once[...]

  • Page 132

    FastScan and FlexTest Reference Manual, V8.6_4 2-104 Add Primary Inputs Command Dictionary Examples The following example adds two new primary inputs to the circuit and places them in the user class of primary inputs: add primary inputs indata2 indata4 Related Commands Delete Primary Inputs Report Primary Inputs Write Primary Inputs (FT)[...]

  • Page 133

    Command Dictionary Add Primary Outputs FastScan and FlexTest Reference Manual, V8.6_4 2-105 Add Primary Outputs Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD PRimary Outputs net_pathname ... Description Adds primary outputs. The Add Primary Outputs command adds an additional primary output to each specified net. Once added, the[...]

  • Page 134

    FastScan and FlexTest Reference Manual, V8.6_4 2-106 Add Random Weights Command Dictionary Add Random Weights Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ADD RAndom Weights percentage_of_1_states primary_input_pin ... Description Specifies the random pattern weighting factors for primary inputs. The Add Random Weights command[...]

  • Page 135

    Command Dictionary Add Random Weights FastScan and FlexTest Reference Manual, V8.6_4 2-107 Examples The following example sets the weighting factor for primary inputs in order to perform testability analysis: set system mode fault add random weights 100.0 indata2 add random weights 25.0 indata4 report random weights set random patterns 612 insert t[...]

  • Page 136

    FastScan and FlexTest Reference Manual, V8.6_4 2-108 Add Read Controls Command Dictionary Add Read Controls Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD REad Controls 0 | 1 primary_input_pin ... Description Adds an off-state value to read control lines. The Add Read Controls command defines the circuit read control lines and a[...]

  • Page 137

    Command Dictionary Add Read Controls FastScan and FlexTest Reference Manual, V8.6_4 2-109 Related Commands Delete Read Controls Report Read Controls[...]

  • Page 138

    FastScan and FlexTest Reference Manual, V8.6_4 2-110 Add Scan Chains Command Dictionary Add Scan Chains Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must define the scan chain group with the Add Scan Groups command prior to using this command. Usage ADD SCan Chains { chain_name group_name primary_input_pin primary_out[...]

  • Page 139

    Command Dictionary Add Scan Chains FastScan and FlexTest Reference Manual, V8.6_4 2-111 Examples The following example defines two scan chains (chain1 and chain2) that belong to the same scan group (group1): add scan groups group1 scanfile add scan chains chain1 group1 indata2 testout2 add scan chains chain2 group1 indata4 testout4 Related Commands[...]

  • Page 140

    FastScan and FlexTest Reference Manual, V8.6_4 2-112 Add Scan Groups Command Dictionary Add Scan Groups Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD SCan Groups { group_name test_procedure_filename }... Description Adds a scan chain group to the system. The Add Scan Groups command defines a scan chain group that contains scan [...]

  • Page 141

    Command Dictionary Add Scan Groups FastScan and FlexTest Reference Manual, V8.6_4 2-113 Examples The following example defines a scan chain group, group1, which loads and unloads a set of scan chains, chain1 and chain2, by using the procedures in the file, scanfile : add scan groups group1 scanfile add scan chains chain1 group1 indata2 testout2 add[...]

  • Page 142

    FastScan and FlexTest Reference Manual, V8.6_4 2-114 Add Scan Instances Command Dictionary Add Scan Instances Tools Supported: FlexTest Scope: Setup mode Usage ADD SCan Instances instance_pathname ... Description Adds sequential instances to the scan instance list. The Add Scan Instances command specifies that FlexTest treat each sequential instanc[...]

  • Page 143

    Command Dictionary Add Scan Models FastScan and FlexTest Reference Manual, V8.6_4 2-115 Add Scan Models Tools Supported: FlexTest Scope: Setup mode Usage ADD SCan Models model_name ... Description Adds sequential models to the scan model list. The Add Scan Models command specifies for FlexTest to treat each sequential instance identified by the mod[...]

  • Page 144

    FastScan and FlexTest Reference Manual, V8.6_4 2-116 Add Slow Pad Command Dictionary Add Slow Pad Tools: FastScan Scope: Atpg mode Usage ADD SLow Pad { pin_name [-Cell cell_name ]} | - All Description Sets the specified I/O pin as a slow pad. While running tests at high speed, as might be used for path delay test patterns, it is not always safe to [...]

  • Page 145

    Command Dictionary Add Tied Signals FastScan and FlexTest Reference Manual, V8.6_4 2-117 Add Tied Signals Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD TIed Signals { 0 | 1 | X | Z } floating_object_name ... [-Pin] Description Adds a value to floating signals or pins. The Add Tied Signals command assigns a specific value to not[...]

  • Page 146

    FastScan and FlexTest Reference Manual, V8.6_4 2-118 Add Tied Signals Command Dictionary • floating_object_name A required repeatable string that specifies the floating nets or pins to which you want to assign a specific value. The tool assigns the tied value to all floating nets or pins in all modules that have the names that you specify. If you[...]

  • Page 147

    Command Dictionary Add Write Controls FastScan and FlexTest Reference Manual, V8.6_4 2-119 Add Write Controls Tools Supported: FastScan and FlexTest Scope: Setup mode Usage ADD WRite Controls 0 | 1 primary_input_pin ... Description Adds an off-state value to specified write control lines. The Add Write Controls command defines the circuit write con[...]

  • Page 148

    FastScan and FlexTest Reference Manual, V8.6_4 2-120 Analyze Atpg Constraints Command Dictionary Analyze Atpg Constraints Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan ANAlyze ATpg Constraints {-AUto | -ALl | [{pin_pathname | gate_id# | function_name}... [- Satisfy | -Exclusive]]} [-Bus] For FlexTest A[...]

  • Page 149

    Command Dictionary Analyze Atpg Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-121 satisfied by itself, but some set of constraints cannot all be satisfied. In this case, -Auto switch proceeds to a second analysis where it adds atpg constraints to a set to create a minimal set that can’t be satisfied. • -ALl An optional switch tha[...]

  • Page 150

    FastScan and FlexTest Reference Manual, V8.6_4 2-122 Analyze Atpg Constraints Command Dictionary • -Exclusive (FastScan Only) An optional switch that specifies for the ATPG process to attempt to create a pattern that sets the selected ATPG constraint at a value different from its constrained value. This test’s intent is to ensure that such a pa[...]

  • Page 151

    Command Dictionary Analyze Bus FastScan and FlexTest Reference Manual, V8.6_4 2-123 Analyze Bus Tools Supported: FastScan and FlexTest FastScan Scope: Atpg, Fault, and Good modes FlexTest Scope: Drc mode Usage ANAlyze BUs { gate_id# ... [- Exclusivity | -Prevention | -Zstate]} | -Drc_check | -ALl | -Auto ] Description Causes the tool to analyze the[...]

  • Page 152

    FastScan and FlexTest Reference Manual, V8.6_4 2-124 Analyze Bus Command Dictionary The available switch choices are as follows: - Exclusivity — An optional switch that specifies for the tool to analyze the bus gate to see if it has mutual exclusivity. Mutual exclusivity means that only one driver can simultaneously force a strong signal onto the[...]

  • Page 153

    Command Dictionary Analyze Bus FastScan and FlexTest Reference Manual, V8.6_4 2-125 The following example displays the current categorization of bus gates, and then performs the prevention check on a specific bus gate: set system mode drc analyze bus -drc_check // ATPG bus checking results: pass=1, bidi=1, fail=0, abort=0, CPU time=0.00. analyze bu[...]

  • Page 154

    FastScan and FlexTest Reference Manual, V8.6_4 2-126 Analyze Control Command Dictionary Analyze Control Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ANAlyze COntrol Description Calculates zero and one-state controllability. The Analyze Control command calculates the zero and one–state controllability by performing good circu[...]

  • Page 155

    Command Dictionary Analyze Control FastScan and FlexTest Reference Manual, V8.6_4 2-127 Related Commands Add Control Points Delete Control Points Report Control Data Set Control Threshold Set Random Patterns[...]

  • Page 156

    FastScan and FlexTest Reference Manual, V8.6_4 2-128 Analyze Control Signals Command Dictionary Analyze Control Signals Tools Supported: FastScan and FlexTest Scope: All modes Usage ANAlyze COntrol Signals [-Report_only] [-Verbose] Description Identifies the primary inputs of control signals. The Analyze Control Signals command analyzes each contro[...]

  • Page 157

    Command Dictionary Analyze Control Signals FastScan and FlexTest Reference Manual, V8.6_4 2-129 • -Verbose An optional literal that specifies to display information on control signals (whether they are identified or not, and why) while the analysis is performed. Examples add clocks add read controls 0 analyze control signals -verbose The followin[...]

  • Page 158

    FastScan and FlexTest Reference Manual, V8.6_4 2-130 Analyze Control Signals Command Dictionary Related Commands Report Clocks Report Read Controls Report Write Controls[...]

  • Page 159

    Command Dictionary Analyze Drc Violation FastScan and FlexTest Reference Manual, V8.6_4 2-131 Analyze Drc Violation Tools Supported: DFTInsight, FastScan, and FlexTest Scope: Setup and Atpg modes Prerequisites: This command operates only after the design rules checker encounters a rule violation. Usage ANAlyze DRc Violation rule_id - occurrence# DF[...]

  • Page 160

    FastScan and FlexTest Reference Manual, V8.6_4 2-132 Analyze Drc Violation Command Dictionary violations as it encounters them, and you cannot change either the rule identification number or the ordering of the specific violations. The design rule violations and their identification literals divide into five groups: RAM, Clock, Data, Extra, and Tra[...]

  • Page 161

    Command Dictionary Analyze Drc Violation FastScan and FlexTest Reference Manual, V8.6_4 2-133 C3 | C4 — The netlist contains all gates between the source cell and the failing cell, the failing clock and the failing cell, and the failing clock and the source cell. The pin data shows the clock cone data for the failing clock. C5/C6 — The netlist [...]

  • Page 162

    FastScan and FlexTest Reference Manual, V8.6_4 2-134 Analyze Drc Violation Command Dictionary D10 (FastScan Only) — The netlist contains a transparent capture cell that feeds logic requiring both the new and old values. Upon invocation, the tool reports failures as Errors. FastScan models failing source gates as TIEX. D11 (FastScan Only) — The [...]

  • Page 163

    Command Dictionary Analyze Drc Violation FastScan and FlexTest Reference Manual, V8.6_4 2-135 E9 — The drivers of wire gates must not be capable of driving opposing binary values. The following lists the Trace rules violation IDs. For a complete description of these violations refer to the “ Scan Chain Trace Rules ” section of the Design-for-[...]

  • Page 164

    FastScan and FlexTest Reference Manual, V8.6_4 2-136 Analyze Drc Violation Command Dictionary With this example, the schematic viewer displays the sequential element associated with the clk input, along with a backward trace through the gates and nets to the associated primary input. add clocks 0 clk set system mode atpg // . . . // ---------------[...]

  • Page 165

    Command Dictionary Analyze Fault FastScan and FlexTest Reference Manual, V8.6_4 2-137 Analyze Fault Tools Supported: DFTInsight, FastScan and FlexTest FastScan Usage Scope: Atpg, Fault, and Good modes ANAlyze FAult pin_pathname { -Stuck_at { 0 | 1 }} [-Observe gate_id# ] [-Boundary] [-Auto] [-Continue] [-Display] FlexTest Usage Scope: Atpg mode onl[...]

  • Page 166

    FastScan and FlexTest Reference Manual, V8.6_4 2-138 Analyze Fault Command Dictionary The fault analysis which is performed by the Analyze Fault command consists of the following actions: 1. A message is given if the selected fault has been nofaulted. 2. A message is given that will identify if the fault is in the current fault list. If the fault i[...]

  • Page 167

    Command Dictionary Analyze Fault FastScan and FlexTest Reference Manual, V8.6_4 2-139 11. If there were potential detection points, the detection points are identified (25 maximum). 12. A controllability test generation is performed to determine if the fault site can be controlled. If successful, the test generation values is displayed using parall[...]

  • Page 168

    FastScan and FlexTest Reference Manual, V8.6_4 2-140 Analyze Fault Command Dictionary Produces the following report: // -------------------------------------------------------- // Path delay fault analysis for path37 slow to rise on launch point = 22032, capture point = 167521 // --------------------------------------------------------- // Path del[...]

  • Page 169

    Command Dictionary Analyze Fault FastScan and FlexTest Reference Manual, V8.6_4 2-141 1 — A literal that specifies that the tool analyze the pin_pathname for a “stuck-at-1” fault. • -Observe gate_id# A switch and integer pair that specifies the observe point for the sensitization analysis. gate_id# — An integer that specifies a gate ident[...]

  • Page 170

    FastScan and FlexTest Reference Manual, V8.6_4 2-142 Analyze Fault Command Dictionary The DFTInsight schematic view contains annotations for the following cases as required: o Successful ATPG — All gates that sensitize the fault effects to an observable point are added to the gate list. The pins on these gates are annotated with the simulated val[...]

  • Page 171

    Command Dictionary Analyze Observe FastScan and FlexTest Reference Manual, V8.6_4 2-143 Analyze Observe Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage ANAlyze OBserve Description Calculates observability coverage. The Analyze Observe command identifies points that were inadequately observed during application of the selected num[...]

  • Page 172

    FastScan and FlexTest Reference Manual, V8.6_4 2-144 Analyze Observe Command Dictionary Related Commands Add Observe Points Delete Observe Points Report Observe Data Set Capture Clock Set Observe Threshold Set Random Patterns[...]

  • Page 173

    Command Dictionary Analyze Race FastScan and FlexTest Reference Manual, V8.6_4 2-145 Analyze Race Tools Supported: FlexTest Scope: Atpg, Good, and Fault modes Usage ANAlyze RAce [ Edge | Level | Both] [-Warning | -Error] Description Checks for race conditions between the clock and data signals. FlexTest is a zero delay simulator, which means that t[...]

  • Page 174

    FastScan and FlexTest Reference Manual, V8.6_4 2-146 Analyze Race Command Dictionary • -ERror An optional switch that specifies for FlexTest to display an error message for the first race condition it encounters and then stop the simulation. You can use the Report Gates command with the Set Gate Report commands Race option to investigate the caus[...]

  • Page 175

    Command Dictionary Analyze Restrictions FastScan and FlexTest Reference Manual, V8.6_4 2-147 Analyze Restrictions Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Usage ANAlyze REStrictions Description Performs an analysis to automatically determine the source of the problems from a failed ATPG run. The Analyze Restrictions command repo[...]

  • Page 176

    FastScan and FlexTest Reference Manual, V8.6_4 2-148 Close Schematic Viewer Command Dictionary Close Schematic Viewer Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Usage CLOse SChematic Viewer DFTInsight Menu Path: File > Close Description Terminates the optional schematic viewing application (DFTInsight). When you termina[...]

  • Page 177

    Command Dictionary Compress Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-149 Compress Patterns Tools Supported: FastScan and FlexTest Scope: Atpg mode Usage For FastScan COMpress PAtterns [ passes_integer ] [-Reset_au] [-MAx_useless_passes integer ] [-MIn_elim_per_pass number ] For FlexTest COMpress PAtterns [ passes_integer ] [-Force][...]

  • Page 178

    FastScan and FlexTest Reference Manual, V8.6_4 2-150 Compress Patterns Command Dictionary FlexTest Self-Initialized Specifics You may only use the Compress Patterns command for combinational circuits or scan circuits. For scan circuits, FlexTest assumes all the non-scan cells will not hold their values during loading. By default, FlexTest does not [...]

  • Page 179

    Command Dictionary Compress Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-151 • -MIn_elim_per_pass integer An optional switch and integer pair that specifies the minimum number of eliminated patterns required in a single pass to continue the pattern compression process. If you specify this switch, you must enter a value greater than 0[...]

  • Page 180

    FastScan and FlexTest Reference Manual, V8.6_4 2-152 Create Initialization Patterns Command Dictionary Create Initialization Patterns Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage CREate INitialization Patterns RAM_instance_name | RAM_gate_id# Description Creates RAM initialization patterns and places them in the internal patte[...]

  • Page 181

    Command Dictionary Create Initialization Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-153 Examples The following example creates RAM initialization patterns for p1.ram/u1 , places the patterns into the internal pattern set during the ATPG run, and saves the patterns to a pattern file with the name patfile : add write control 0 w1 set s[...]

  • Page 182

    FastScan and FlexTest Reference Manual, V8.6_4 2-154 Create Patterns Command Dictionary Create Patterns Tools Supported: FastScan Scope: ATPG mode Usage CREate PAtterns -Compact Description Automates good ATPG compression flow. The Create Patterns command executes good ATPG compression flow by combining the following sequence of events into one exe[...]

  • Page 183

    Command Dictionary Create Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-155 Examples The following example creates an internally stored set of compact patterns that can be saved using the Save Patterns command: set system mode atpg create patterns -compact Related Commands[...]

  • Page 184

    FastScan and FlexTest Reference Manual, V8.6_4 2-156 Delete Atpg Constraints Command Dictionary Delete Atpg Constraints Tools Supported: FastScan and FlexTest FastScan Scope: All modes FlexTest Scope: Atpg, Good, and Fault modes Prerequisites: You can only delete constraints added with the Add Atpg Constraints command. Usage For FastScan DELete ATp[...]

  • Page 185

    Command Dictionary Delete Atpg Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-157 • net_pathname (FlexTest Only) A repeatable string that specifies the pathname of the net from which you want to remove any ATPG net constraints. • gate_ID# A repeatable integer that specifies the gate identification number of the gate from which you[...]

  • Page 186

    FastScan and FlexTest Reference Manual, V8.6_4 2-158 Delete Atpg Functions Command Dictionary Delete Atpg Functions Tools Supported: FastScan and FlexTest FastScan Scope: All modes FlexTest Scope: Atpg, Fault, and Good modes Prerequisites: You can only delete functions added with the Add Atpg Functions command. Usage DELete ATpg Functions function_[...]

  • Page 187

    Command Dictionary Delete Atpg Functions FastScan and FlexTest Reference Manual, V8.6_4 2-159 Examples The following example creates two user-defined ATPG functions, one user- defined ATPG constraint, displays the currently-in-use ATPG constraints, and then removes one of the inactive ATPG functions: add atpg functions and_b_in And /i$144/q /i$141/[...]

  • Page 188

    FastScan and FlexTest Reference Manual, V8.6_4 2-160 Delete Capture Handling Command Dictionary Delete Capture Handling Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flat[...]

  • Page 189

    Command Dictionary Delete Capture Handling FastScan and FlexTest Reference Manual, V8.6_4 2-161 • -All A switch that removes all special data capture handling. • -SInk An optional switch specifying that the object argument is a termination point. This is the default behavior for the command. If you use this switch in combination with the -All s[...]

  • Page 190

    FastScan and FlexTest Reference Manual, V8.6_4 2-162 Delete Cell Constraints Command Dictionary Delete Cell Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You can only delete constraints added with the Add Cell Constraints command. Usage DELete CEll Constraints pin_pathname | { chain_name cell_position } | -All [...]

  • Page 191

    Command Dictionary Delete Cell Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-163 Examples The following example deletes an incorrectly added cell constraint placed on a scan cell: add clocks 1 clock1 add scan groups group1 proc.g1 add scan chains chain1 group1 scanin1 scanout1 add scan chains chain2 group1 scanin2 scanout2 add cell c[...]

  • Page 192

    FastScan and FlexTest Reference Manual, V8.6_4 2-164 Delete Clocks Command Dictionary Delete Clocks Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You can only delete primary input pin names added with the Add Clocks command. Usage DELete CLocks primary_input_pin ... | -All Description Removes primary input pins from the cl[...]

  • Page 193

    Command Dictionary Delete Cone Blocks FastScan and FlexTest Reference Manual, V8.6_4 2-165 Delete Cone Blocks Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add output pins names to the clock and effect cone list with the Add Cone Blocks command before you can delete them. Usage DELete COne Blocks pin_pathname ... [...]

  • Page 194

    FastScan and FlexTest Reference Manual, V8.6_4 2-166 Delete Cone Blocks Command Dictionary Examples The following example shows adding and removing cone blockages: add cone blocks /ls0/q report cone blocks both /LS0/Q delete cone blocks /ls0/q add cone blocks /ls0/q -clock report cone blocks clock /LS0/Q Related Commands Add Cone Blocks Report Cone[...]

  • Page 195

    Command Dictionary Delete Control Points FastScan and FlexTest Reference Manual, V8.6_4 2-167 Delete Control Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You must add control points with the Add Control Points command before you can delete them. Usage DELete COntrol Points pin_pathname ... | -All Description Re[...]

  • Page 196

    FastScan and FlexTest Reference Manual, V8.6_4 2-168 Delete Control Points Command Dictionary Related Commands Add Control Points Analyze Control Report Control Points[...]

  • Page 197

    Command Dictionary Delete Display Instances FastScan and FlexTest Reference Manual, V8.6_4 2-169 Delete Display Instances Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage D[...]

  • Page 198

    FastScan and FlexTest Reference Manual, V8.6_4 2-170 Delete Display Instances Command Dictionary • -All A switch that removes all the objects in the current DFTInsight display, leaving a blank display window. When you use this switch, even though the display becomes blank, DFTInsight does not delete the actual display netlist. Examples The follow[...]

  • Page 199

    Command Dictionary Delete Faults FastScan and FlexTest Reference Manual, V8.6_4 2-171 Delete Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Prerequisites: You must add faults with the Add Faults or Load Faults commands before you can delete them. Usage For FastScan Path Delay Faults Usage: DELete FAults { object_pa[...]

  • Page 200

    FastScan and FlexTest Reference Manual, V8.6_4 2-172 Delete Faults Command Dictionary a Multiple Input Signature Register (MISR). You can specify the -Untestable switch to remove these fault types. Arguments • object_pathname A repeatable string that specifies a list of pins, instances, or delay paths. • -All A switch that deletes all faults in[...]

  • Page 201

    Command Dictionary Delete Faults FastScan and FlexTest Reference Manual, V8.6_4 2-173 Examples The following example deletes a stuck-at-0 fault from the current fault list after adding all the faults to the circuit, but before performing an ATPG run: set system mode atpg add faults -all delete faults i_1006/i1 -stuck_at 0 run Related Commands Add F[...]

  • Page 202

    FastScan and FlexTest Reference Manual, V8.6_4 2-174 Delete Iddq Constraints Command Dictionary Delete Iddq Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add constraints with the Add Iddq Constraints command before you can delete them. Usage DELete IDdq Constraints -All | { pinname ... [-Model modelnam[...]

  • Page 203

    Command Dictionary Delete Iddq Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-175 Examples The following example adds and removes IDDQ constraints on internal pins: set fault type iddq add iddq constraints c0 /mx1/or1/n2/en add iddq constraints c1 /mx1/or1/n1/o delete iddq constraints /mx1/or1/n2/en report iddq constraints C1 /MX1/OR1[...]

  • Page 204

    FastScan and FlexTest Reference Manual, V8.6_4 2-176 Delete Initial States Command Dictionary Delete Initial States Tools Supported: FlexTest Scope: Setup mode Prerequisites: You must add initial state settings with the Add Initial States command before you can delete them. Usage DELete INitial States instance_pathname ... | -All Description Remove[...]

  • Page 205

    Command Dictionary Delete LFSR Connections FastScan and FlexTest Reference Manual, V8.6_4 2-177 Delete LFSR Connections Tools Supported: FastScan Scope: Setup mode Prerequisites: You must define LFSR connections with the Add LFSR Connections command before you can delete them. Usage DELete LFsr Connections primary_pin ... | -All Description Removes[...]

  • Page 206

    FastScan and FlexTest Reference Manual, V8.6_4 2-178 Delete LFSR Connections Command Dictionary Examples The following example changes the definition of an LFSR connection by deleting it and then re-adding it with a new definition: add lfsrs lfsr1 prpg 5 15 -serial -in add lfsr taps lfsr1 2 3 4 add lfsr connections scan_in.1 lfsr1 2 delete lfsr con[...]

  • Page 207

    Command Dictionary Delete LFSR Taps FastScan and FlexTest Reference Manual, V8.6_4 2-179 Delete LFSR Taps Tools Supported: FastScan Scope: Setup mode Prerequisites: You must add LFSR taps with the Add LFSR Taps command before you can delete them. Usage DELete LFsr Taps lfsr_name { tap_position ... | -All } Description Removes the tap positions from[...]

  • Page 208

    FastScan and FlexTest Reference Manual, V8.6_4 2-180 Delete LFSR Taps Command Dictionary Examples The following example changes an LFSR tap position by deleting it and then adding a new tap position: add lfsrs lfsr1 prpg 5 15 -serial -in add lfsrs lfsr2 Prpg 5 13 -serial -in add lfsr taps lfsr1 2 3 4 add lfsr taps lfsr2 1 3 delete lfsr taps lfsr1 3[...]

  • Page 209

    Command Dictionary Delete LFSRs FastScan and FlexTest Reference Manual, V8.6_4 2-181 Delete LFSRs Tools Supported: FastScan Scope: Setup mode Prerequisites: You must define LFSRs with the Add LFSRs command before you can delete them. Usage DELete LFsrs lfsr_name ... | -All Description Removes the specified Linear Feedback Shift Registers (LFSRs). T[...]

  • Page 210

    FastScan and FlexTest Reference Manual, V8.6_4 2-182 Delete LFSRs Command Dictionary Related Commands Add LFSRs Report LFSRs Setup LFSRs[...]

  • Page 211

    Command Dictionary Delete Lists FastScan and FlexTest Reference Manual, V8.6_4 2-183 Delete Lists Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage DELete LIsts pin_pathname ... | -All Description Removes the specified pins from the pin list that the tool monitors while in the Fault or Good simulation system mode. The [...]

  • Page 212

    FastScan and FlexTest Reference Manual, V8.6_4 2-184 Delete Mos Direction Command Dictionary Delete Mos Direction Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage DELete MOs Direction subckt_name instance_name Description Removes the assigned direction of a MOS transistor[...]

  • Page 213

    Command Dictionary Delete Net Property FastScan and FlexTest Reference Manual, V8.6_4 2-185 Delete Net Property Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage DELete NEt Property { net_name { -VDD | - GND }} | - All Description Resets the VDD or GND net in the Spice des[...]

  • Page 214

    FastScan and FlexTest Reference Manual, V8.6_4 2-186 Delete Nofaults Command Dictionary Delete Nofaults Tools Supported: FastScan and FlexTest Scope: Setup mode Usage DELete NOfaults pathname ... | -All [-Instance | -Module] [-Stuck_at { 01 | 0 | 1}] [-Class { User | System | Full}] Description Removes the nofault settings from either the specified[...]

  • Page 215

    Command Dictionary Delete Nofaults FastScan and FlexTest Reference Manual, V8.6_4 2-187 • -Instance An optional switch that specifies interpretation of the pathname argument as an instance pathname. • -Module An optional switch specifies interpretation of the pathname argument as a module pathname. All instances of these modules are affected. ?[...]

  • Page 216

    FastScan and FlexTest Reference Manual, V8.6_4 2-188 Delete Nofaults Command Dictionary Related Commands Add Nofaults Report Nofaults[...]

  • Page 217

    Command Dictionary Delete Nonscan Handling FastScan and FlexTest Reference Manual, V8.6_4 2-189 Delete Nonscan Handling Tools Supported: FlexTest Scope: Setup mode Usage DELete NOnscan Handling element_pathname ... | -All [ -Instance | -Module] Description Removes the overriding learned behavior classification for the specified non-scan elements. T[...]

  • Page 218

    FastScan and FlexTest Reference Manual, V8.6_4 2-190 Delete Nonscan Handling Command Dictionary Examples The following example first explicitly defines how FlexTest is to handle two non- scan elements, then removes one of those definitions, and finally reports on the current list of learned behavior overrides for the design rules checker: add nonsc[...]

  • Page 219

    Command Dictionary Delete Notest Points FastScan and FlexTest Reference Manual, V8.6_4 2-191 Delete Notest Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You must add circuit points with the Add Notest Points command before you can delete them. Usage DELete NOtest Points pin_pathname ... | -All Description Remove[...]

  • Page 220

    FastScan and FlexTest Reference Manual, V8.6_4 2-192 Delete Notest Points Command Dictionary Examples The following example deletes an incorrect notest circuit point and corrects it with a new circuit point before performing testability analysis: set system mode fault add notest points i_1006/o i_1007/o i_1008/o delete notest points i_1007/o add no[...]

  • Page 221

    Command Dictionary Delete Observe Points FastScan and FlexTest Reference Manual, V8.6_4 2-193 Delete Observe Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You must add observe points with the Add Observe Points command before you can delete them. Usage DELete OBserve Points pin_pathname ... | -All Description Re[...]

  • Page 222

    FastScan and FlexTest Reference Manual, V8.6_4 2-194 Delete Observe Points Command Dictionary Related Commands Add Observe Points Analyze Observe Report Observe Data Report Observe Points[...]

  • Page 223

    Command Dictionary Delete Output Masks FastScan and FlexTest Reference Manual, V8.6_4 2-195 Delete Output Masks Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add primary output pin masks with the Add Output Masks command before you can delete them. Usage DELete OUtput Masks primary_output ... | -All Description Re[...]

  • Page 224

    FastScan and FlexTest Reference Manual, V8.6_4 2-196 Delete Output Masks Command Dictionary add output masks q1 qb3 delete output masks q1 add output masks qb1 report output masks qb1 qb3 Related Commands Add Output Masks Report Output Masks[...]

  • Page 225

    Command Dictionary Delete Paths FastScan and FlexTest Reference Manual, V8.6_4 2-197 Delete Paths Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Prerequisites: You must add path definitions with the Load Paths command and then faults to those paths with the Add Faults or Load Faults commands before you can delete path delay faults. Us[...]

  • Page 226

    FastScan and FlexTest Reference Manual, V8.6_4 2-198 Delete Paths Command Dictionary Examples The following example reads the path information from the file, /user/design/pathfile , and deletes one of the two paths: set fault type path_delay load paths /user/design/pathfile report paths PATH “path0” = PIN /I$6/Q + ; PIN /I$35/B0 + ; PIN /I$35/C[...]

  • Page 227

    Command Dictionary Delete Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-199 Delete Pin Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add pin constraints with the Add Pin Constraints command before you can delete them. Usage DELete PIn Constraints primary_input_pin ... | -All Descript[...]

  • Page 228

    FastScan and FlexTest Reference Manual, V8.6_4 2-200 Delete Pin Constraints Command Dictionary Related Commands Add Pin Constraints Report Pin Constraints Setup Pin Constraints[...]

  • Page 229

    Command Dictionary Delete Pin Equivalences FastScan and FlexTest Reference Manual, V8.6_4 2-201 Delete Pin Equivalences Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add equivalences with the Add Pin Equivalences command before you can delete them. Usage DELete PIn Equivalences primary_input_pin ... | -All Descrip[...]

  • Page 230

    FastScan and FlexTest Reference Manual, V8.6_4 2-202 Delete Pin Strobes Command Dictionary Delete Pin Strobes Tools Supported: FlexTest Scope: Setup mode Prerequisites: You must add strobe times with the Add Pin Strobes command before you can delete them. Usage DELete PIn Strobes primary_output_pin ... | -All Description Removes the strobe time fro[...]

  • Page 231

    Command Dictionary Delete Pin Strobes FastScan and FlexTest Reference Manual, V8.6_4 2-203 Examples The following example deletes the strobe time of a primary output pin: set test cycle 3 add pin strobes 1 outdata1 outdata2 outdata3 delete pin strobes outdata2 The pin then takes on the default strobe time value. Related Commands Add Pin Strobes Rep[...]

  • Page 232

    FastScan and FlexTest Reference Manual, V8.6_4 2-204 Delete Primary Inputs Command Dictionary Delete Primary Inputs Tools Supported: FastScan and FlexTest Scope: Setup mode Usage DELete PRimary Inputs { net_pathname ... | primary_input_pin ... | -All } [-Class { User | System | Full}] Description Removes the specified primary inputs from the curren[...]

  • Page 233

    Command Dictionary Delete Primary Inputs FastScan and FlexTest Reference Manual, V8.6_4 2-205 • -Class User | System | Full An optional switch and literal pair that specifies the class code of the designated primary input pins. The valid class code literal names are as follows: User — A literal specifying that the primary inputs were added usin[...]

  • Page 234

    FastScan and FlexTest Reference Manual, V8.6_4 2-206 Delete Primary Outputs Command Dictionary Delete Primary Outputs Tools Supported: FastScan and FlexTest Scope: Setup mode Usage DELete PRimary Outputs { net_pathname ... | primary_output_pin ... | -All } [-Class { User | System | Full}] Description Removes the specified primary outputs from the c[...]

  • Page 235

    Command Dictionary Delete Primary Outputs FastScan and FlexTest Reference Manual, V8.6_4 2-207 User — A literal specifying that the list of primary outputs were added using the Add Primary Outputs command. This is the default class. System — A literal specifying that the list of primary outputs derive from the netlist. Full — A literal specif[...]

  • Page 236

    FastScan and FlexTest Reference Manual, V8.6_4 2-208 Delete Random Weights Command Dictionary Delete Random Weights Tools Supported: FastScan Scope: Atpg, Fault, and Good mode Prerequisites: You must add random weight values with the Add Random Weights command before you can delete them. Usage DELete RAndom Weights primary_input_pin ... | -All Desc[...]

  • Page 237

    Command Dictionary Delete Random Weights FastScan and FlexTest Reference Manual, V8.6_4 2-209 Examples The following example deletes the weighting factor of a primary input in order to perform testability analysis: set system mode fault add random weights 100.00 indata2 add random weights 25.00 indata3 add random weights 25.00 indata4 delete random[...]

  • Page 238

    FastScan and FlexTest Reference Manual, V8.6_4 2-210 Delete Read Controls Command Dictionary Delete Read Controls Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add read control lines with the Add Read Controls command before you can delete them. Usage DELete REad Controls primary_input_pin ... | -All Description R[...]

  • Page 239

    Command Dictionary Delete Scan Chains FastScan and FlexTest Reference Manual, V8.6_4 2-211 Delete Scan Chains Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add scan chains with the Add Scan Chains command before you can delete them. Usage DELete SCan Chains chain_name ... | -All Description Removes the specified s[...]

  • Page 240

    FastScan and FlexTest Reference Manual, V8.6_4 2-212 Delete Scan Groups Command Dictionary Delete Scan Groups Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add scan chain groups with the Add Scan Groups command before you can delete them. Usage DELete SCan Groups group_name ... | -All Description Removes the speci[...]

  • Page 241

    Command Dictionary Delete Scan Groups FastScan and FlexTest Reference Manual, V8.6_4 2-213 Related Commands Add Scan Groups Report Scan Groups[...]

  • Page 242

    FastScan and FlexTest Reference Manual, V8.6_4 2-214 Delete Scan Instances Command Dictionary Delete Scan Instances Tools Supported: FlexTest Scope: Setup mode Prerequisites: You must add sequential instances with the Add Scan Instances command before you can delete them. Usage DELete SCan Instances instance_pathname ... | -All Description Removes [...]

  • Page 243

    Command Dictionary Delete Scan Models FastScan and FlexTest Reference Manual, V8.6_4 2-215 Delete Scan Models Tools Supported: FlexTest Scope: Setup mode Usage DELete SCan Models model_name ... | -All Description Removes the specified sequential models from the scan model list. The Delete Scan Models command deletes all instances of the specified s[...]

  • Page 244

    FastScan and FlexTest Reference Manual, V8.6_4 2-216 Delete Slow Pad Command Dictionary Delete Slow Pad Tools: FastScan Scope: Atpg mode Usage DELete SLow Pad { pin_name [-Cell cell_name ]} | - All Description Resets the specified I/O pin back to the default simulation mode. The Delete Slow Pad command sets the specified I/O pin back to its default[...]

  • Page 245

    Command Dictionary Delete Tied Signals FastScan and FlexTest Reference Manual, V8.6_4 2-217 Delete Tied Signals Tools Supported: FastScan and FlexTest Scope: Setup mode Usage DELete TIed Signals { floating_object_name ... | -All } [-Class { User | System | Full}] [-Pin] Description Removes the assigned (tied) value from the specified floating nets [...]

  • Page 246

    FastScan and FlexTest Reference Manual, V8.6_4 2-218 Delete Tied Signals Command Dictionary • -Class User | System | Full An optional switch and literal pair that specifies the class code of the tied floating nets or pins that you specify. The valid literal names are as follows: User — A literal specifying that the tied floating nets or pins we[...]

  • Page 247

    Command Dictionary Delete Write Controls FastScan and FlexTest Reference Manual, V8.6_4 2-219 Delete Write Controls Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must add write control lines with the Add Write Controls command before you can delete them. Usage DELete WRite Controls primary_input_pin ... | -All Descript[...]

  • Page 248

    FastScan and FlexTest Reference Manual, V8.6_4 2-220 Diagnose Failures Command Dictionary Diagnose Failures Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You can only use this command when the test pattern source is set to external. To do so, use the Set Pattern Source command. Usage DIAgnose FAilures failure_filename [...]

  • Page 249

    Command Dictionary Diagnose Failures FastScan and FlexTest Reference Manual, V8.6_4 2-221 The primary use for this command is for diagnostics. If you suspect that a scan chain defect is causing a scan cell to remain at a constant state, use the -Chain switch. The diagnosis then identifies the scan cell closest to the scan-in pin that will not achie[...]

  • Page 250

    FastScan and FlexTest Reference Manual, V8.6_4 2-222 Diagnose Failures Command Dictionary If you do not specify the -Output switch and string pair, the command displays the diagnostic report to stdout. • -Replace An optional switch that replaces the contents of the report_filename if one by the same name already exists. • -Chain An optional swi[...]

  • Page 251

    Command Dictionary Diagnose Failures FastScan and FlexTest Reference Manual, V8.6_4 2-223 write failures fail_patterns -replace i_1005/i1 -stuck_at 0 // failing_patterns=4 simulated_patterns=36 fault_simulation_time=0.00 sec diagnose failures fail_patterns -output fail_diags Related Commands Set Pattern Source Write Failures[...]

  • Page 252

    FastScan and FlexTest Reference Manual, V8.6_4 2-224 Dofile Command Dictionary Dofile Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Usage DOFile filename Description Executes the commands contained within the specified file. The Dofile command sequentially executes the commands contained in a specified file. This command is e[...]

  • Page 253

    Command Dictionary Dofile FastScan and FlexTest Reference Manual, V8.6_4 2-225 The command_file may contain any application command available. An example of a command_file is as follows: set system mode atpg add faults -all run Related Commands Set Dofile Abort[...]

  • Page 254

    FastScan and FlexTest Reference Manual, V8.6_4 2-226 Exit Command Dictionary Exit Tools Supported: FastScan and FlexTest Scope: All modes Usage EXIt [-Discard] Description Terminates the application tool program. The Exit command terminates the tool session and returns to the operating system. You should either save the current test patterns before[...]

  • Page 255

    Command Dictionary Extract Subckts FastScan and FlexTest Reference Manual, V8.6_4 2-227 Extract Subckts Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage EXTract SUnckts [ subckt_name ] Description Performs matching and conversion between the bi-directional MOS instance an[...]

  • Page 256

    FastScan and FlexTest Reference Manual, V8.6_4 2-228 Flatten Model Command Dictionary Flatten Model Tools Supported: FastScan and FlexTest Scope: Setup mode Usage FLAtten MOdel Description Creates a primitive gate simulation representation of the design. The tool automatically flattens the design hierarchy down to the logically equivalent design wh[...]

  • Page 257

    Command Dictionary Flatten Subckt FastScan and FlexTest Reference Manual, V8.6_4 2-229 Flatten Subckt Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage FLAtten SUbckt subckt_name -Recursive Description Flattens the SUBCKT in the Spice design. The Flatten Subckt command fla[...]

  • Page 258

    FastScan and FlexTest Reference Manual, V8.6_4 2-230 Help Command Dictionary Help Tools Supported: FastScan and FlexTest Scope: All modes Usage HELp [ command_name ] Description Displays the usage syntax and system mode for the specified command. The Help command provides quick access to either information about a specific command, to a list of com[...]

  • Page 259

    Command Dictionary Help FastScan and FlexTest Reference Manual, V8.6_4 2-231 // Report primary inputs // usage: REPort PRimary Inputs [-Class <User|System|Full>] [-All | pin_pathname...] // legal system modes: ALL[...]

  • Page 260

    FastScan and FlexTest Reference Manual, V8.6_4 2-232 Insert Testability Command Dictionary Insert Testability Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage INSert TEstability [-Control_max integer ] [-Observe_max integer ] Description Performs testability analysis to achieve maximum test coverage. The Insert Testability command[...]

  • Page 261

    Command Dictionary Insert Testability FastScan and FlexTest Reference Manual, V8.6_4 2-233 Examples The following example performs a complete testability analysis to achieve a high test coverage with a specified number of random patterns: set system mode fault set random patterns 612 insert testability - control_max 10 - observe_max 10 report contr[...]

  • Page 262

    FastScan and FlexTest Reference Manual, V8.6_4 2-234 Load Faults Command Dictionary Load Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan LOAd FAults filename [-Restore | -Delete | -DELETE_Equivalent | -RETain] For FlexTest LOAd FAults filename [-Restore | -Delete] Description Updates the current f[...]

  • Page 263

    Command Dictionary Load Faults FastScan and FlexTest Reference Manual, V8.6_4 2-235 • pin_pathname The third column must be the pin pathname. • cell_name The fourth column is the cell name enclosed in parenthesis. When present, this column indicates the type of cell in which the fault resides. • net_name The fifth column is the net name enclo[...]

  • Page 264

    FastScan and FlexTest Reference Manual, V8.6_4 2-236 Load Faults Command Dictionary o If a fault class code is not valid, the tool considers the fault class to be UC. o After collapsing, the tool uses only the fault class found in the second column of the individual fault. When faults collapse together, there is no checking to ensure that they have[...]

  • Page 265

    Command Dictionary Load Faults FastScan and FlexTest Reference Manual, V8.6_4 2-237 save status of the Set AU Analysis command Set AU Analysis Off Load Faults -Restore Set AU Analysis On restore saved status of the Set AU Analysis command Examples The following example adds faults to the circuit from an external tool-created fault list before you b[...]

  • Page 266

    FastScan and FlexTest Reference Manual, V8.6_4 2-238 Load Paths Command Dictionary Load Paths Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Prerequisites: A properly formatted path definition file must exist at the specified filename location. Usage LOAd PAths filename [-Force | - Noforce] Description Reads into FastScan the path def[...]

  • Page 267

    Command Dictionary Load Paths FastScan and FlexTest Reference Manual, V8.6_4 2-239 If the path includes a clock or state element D-input pin, you must include the state element name in the path (or use the -Force switch). Fail to do so and FastScan will not resolve the path and report an error. • The last pin for a path must be a valid capture po[...]

  • Page 268

    FastScan and FlexTest Reference Manual, V8.6_4 2-240 Load Paths Command Dictionary Arguments • filename A required string that specifies the pathname of the file that contains the definitions of each of the path delay faults for which you want FastScan to create the ATPG patterns. • -Force An optional switch that specifies continued path readin[...]

  • Page 269

    Command Dictionary Load Paths FastScan and FlexTest Reference Manual, V8.6_4 2-241 Next, you add the faults on the paths contained in that file: add faults - all report faults - all type code pin_pathname ---- ---- --------------- 0 UC path0 1 UC path0 Now you are ready to perform an ATPG simulation on the path delay faults: run The following is an[...]

  • Page 270

    FastScan and FlexTest Reference Manual, V8.6_4 2-242 Macrotest Command Dictionary Macrotest Tools Supported: FastScan Scope: All modes Usage MACrotest {[ ID# | pin_pathname | instance_name ] pattern_filename | [- MULtiple_macros macro_filename ]} [- FIll_patterns | -NO_FIll_patterns] [-FAultsim | -NOFAultsim] [- Verbose | -NOVerbose] [-L_h | -NO_L_[...]

  • Page 271

    Command Dictionary Macrotest FastScan and FlexTest Reference Manual, V8.6_4 2-243 • instance_name A non-repeatable string that specifies the name of the instance to use in the macrotest. • pattern_file_name The set of patterns to be applied to the macro. • - multiple_macros macro_filename An optional switch that allows multiple macros to be t[...]

  • Page 272

    FastScan and FlexTest Reference Manual, V8.6_4 2-244 Macrotest Command Dictionary Also, if fault simulation is performed (the default), random fill allows many nonmacro faults to be detected in the same patterns that are testing the macro, reducing test set size. • -NOFIll_patterns An optional literal that causes the unspecified bits in scan chai[...]

  • Page 273

    Command Dictionary Macrotest FastScan and FlexTest Reference Manual, V8.6_4 2-245 • -NOVerbose An optional literal that turns off the default verbose output. When using this option, the tool may leave out important warning and informative messages. • -L_h An optional literal that specifies that {L,H} represent {LO,HI} output values in the patte[...]

  • Page 274

    FastScan and FlexTest Reference Manual, V8.6_4 2-246 Macrotest Command Dictionary attempts and orderings, a message is issued for that pattern stating how many outputs were not observed for that pattern. When using this option, macrotest is terminated (aborted) ONLY if the control (input) values cannot be created for some pattern. If this occurs, t[...]

  • Page 275

    Command Dictionary Macrotest FastScan and FlexTest Reference Manual, V8.6_4 2-247 • -NOVERIfy_observability An optional literal that specifies for FastScan to refrain from performing an extra simulation per pattern to verify that changing the macro outputs changes the observation sites. • -VERIfy_observability An optional literal that causes on[...]

  • Page 276

    FastScan and FlexTest Reference Manual, V8.6_4 2-248 Mark Command Dictionary Mark Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Usage MARk { gate_id# | pin_pathname | instance_name }... | -All | -Selected DFTInsight Menu Path: Display > Mark > All | Selected Description Highlights the objects that you specify in the Sch[...]

  • Page 277

    Command Dictionary Mark FastScan and FlexTest Reference Manual, V8.6_4 2-249 • -All A switch that marks all the gates in the design. • -Select A switch that marks all the gates in the current selection list. Examples The following paragraphs provide examples of using various commands to display gates and their effect on the mark feature. The fi[...]

  • Page 278

    FastScan and FlexTest Reference Manual, V8.6_4 2-250 Open Schematic Viewer Command Dictionary Open Schematic Viewer Tools Supported: FastScan and FlexTest Scope: All modes Usage OPEn SChematic VIewer Description Invokes the optional schematic viewing application, DFTInsight. The Open Schematic Viewer command opens a DFTInsight schematic display win[...]

  • Page 279

    Command Dictionary Open Schematic Viewer FastScan and FlexTest Reference Manual, V8.6_4 2-251 Examples The following example invokes the schematic viewer, creates and displays a netlist, and then terminates the viewing session: open schematic viewer analyze drc violation c2-1 close schematic viewer Related Commands Close Schematic Viewer Save Schem[...]

  • Page 280

    FastScan and FlexTest Reference Manual, V8.6_4 2-252 Read Modelfile Command Dictionary Read Modelfile Tools Supported: FastScan and FlexTest Scope: Setup mode Usage REAd MOdelfile modelfile_name RAM/ROM_instance_name Description Initializes the specified RAM or ROM gate using the memory states contained in the named modelfile. The Read Modelfile co[...]

  • Page 281

    Command Dictionary Read Modelfile FastScan and FlexTest Reference Manual, V8.6_4 2-253 beginning of the data values. If you use an X within hexadecimal data, all four bits that it represents are X’s. Therefore, to set a single bit to X, use the binary format. The following two examples are equivalent. The first example shows both an address and i[...]

  • Page 282

    FastScan and FlexTest Reference Manual, V8.6_4 2-254 Read Modelfile Command Dictionary Examples The following example initializes the memory states of a RAM gate, so you can perform an ATPG run: read modelfile model.ram /p1.ram set system mode atpg add faults - all run Here is an example of an initialization file (range 0-1f): 0 / a; 1-f / 5; 10 / [...]

  • Page 283

    Command Dictionary Read Procfile FastScan and FlexTest Reference Manual, V8.6_4 2-255 Read Procfile Tools Supported: FastScan and FlexTest Scope: All modes except Setup mode Usage REAd PRocfile proc_file_name Description Reads the specified new enhanced procedure file. The Read Procfile command specifies for the tool to read the new enhanced proced[...]

  • Page 284

    FastScan and FlexTest Reference Manual, V8.6_4 2-256 Read Subckts Library Command Dictionary Read Subckts Library Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage REAd SUbckts Library filename Description Reads the specified Spice SUBCKT library. The Read Subckts Library [...]

  • Page 285

    Command Dictionary Redo Display FastScan and FlexTest Reference Manual, V8.6_4 2-257 Redo Display Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: You must have the optional DFTInsight application invoked, you must have issued an Undo command, and not have added or dele[...]

  • Page 286

    FastScan and FlexTest Reference Manual, V8.6_4 2-258 Redo Display Command Dictionary The first example invokes DFTInsight, then displays four custom gate paths by specifying the first and last gate identification numbers for each path: open schematic viewer add display path 23 51 add display path 51 88 add display path 51 65 add display path 65 102[...]

  • Page 287

    Command Dictionary Report Aborted Faults FastScan and FlexTest Reference Manual, V8.6_4 2-259 Report Aborted Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Good, and Fault modes Usage REPort ABorted Faults [ format_type ] Description Displays information on undetected faults caused when the tool aborted the simulation during the ATPG pr[...]

  • Page 288

    FastScan and FlexTest Reference Manual, V8.6_4 2-260 Report Aborted Faults Command Dictionary Decisions — (FastScan Only) A literal that displays all the aborted undetected faults that exceeded the maximum number of decisions. Detected — A literal that displays all the faults that the tool aborted and then later detected. Hypertrophic — (Flex[...]

  • Page 289

    Command Dictionary Report Aborted Faults FastScan and FlexTest Reference Manual, V8.6_4 2-261 Related Commands Report Faults Set Abort Limit Set Atpg Limits Set Workspace Size[...]

  • Page 290

    FastScan and FlexTest Reference Manual, V8.6_4 2-262 Report Atpg Constraints Command Dictionary Report Atpg Constraints Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort ATpg Constraints Description Displays all the current ATPG state restrictions and the pins on which they reside. The Report Atpg Constraints command displays the[...]

  • Page 291

    Command Dictionary Report Atpg Functions FastScan and FlexTest Reference Manual, V8.6_4 2-263 Report Atpg Functions Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort ATpg Functions Description Displays all the current ATPG function definitions. The Report Atpg Functions command displays the definitions of the ATPG functions creat[...]

  • Page 292

    FastScan and FlexTest Reference Manual, V8.6_4 2-264 Report AU Faults Command Dictionary Report AU Faults Tools Supported: FlexTest Scope: ATPG and Fault modes Usage REPort AU FAults [ Summary | All | TRistate | TIed_constraint | Blocked_constraint | Uninitialized | Clock | Wire | Others] Description Displays information on ATPG untestable faults. [...]

  • Page 293

    Command Dictionary Report AU Faults FastScan and FlexTest Reference Manual, V8.6_4 2-265 • All An optional literal that specifies to display all AU faults which include AU, UI, PU, HU, OU faults. • Tristate An optional literal that specifies to display all AU faults which have a propagation path to the enable of a tristateable primitive which d[...]

  • Page 294

    FastScan and FlexTest Reference Manual, V8.6_4 2-266 Report AU Faults Command Dictionary For example, a stuck at 0 fault on the output of an AND gate which has one or more of its inputs constrained to unknown during test is placed in the AU fault category, and reported in the Uninitialized subcategory. This is because the site of the fault is const[...]

  • Page 295

    Command Dictionary Report AU Faults FastScan and FlexTest Reference Manual, V8.6_4 2-267 The following example displays the default summary of all the aborted faults: report au faults 117 tristate 23 clock 4 blocked_constraint 9 uninitialized 3 tied_constraint 2 wire 11 others Related Commands Add Faults Analyze Fault Delete Faults Report Faults[...]

  • Page 296

    FastScan and FlexTest Reference Manual, V8.6_4 2-268 Report Bus Data Command Dictionary Report Bus Data Tools Supported: FastScan and FlexTest Scope: All modes Prerequisites: You can use this command only after the tool flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten[...]

  • Page 297

    Command Dictionary Report Bus Data FastScan and FlexTest Reference Manual, V8.6_4 2-269 FastScan Specifics If you enable the learn reporting by using the Set Learn Report command, FastScan provides the following two additional lines of information with the Report Bus Data command: • Information on whether or not the bus is capable of being set to[...]

  • Page 298

    FastScan and FlexTest Reference Manual, V8.6_4 2-270 Report Bus Data Command Dictionary Bidi — A literal that displays the bus data for the bidirectional buses that have possible contention problems. For the tool to place a bus in this category, the bidirectional pin must have only a single tri-state driver. Fail — A literal that displays the b[...]

  • Page 299

    Command Dictionary Report Bus Data FastScan and FlexTest Reference Manual, V8.6_4 2-271 ZAbort — (FastScan Only) A literal that displays the bus data for the buses that abort the E11 design rule check. Examples The following example displays the information on a specific bus gate—an inverter (INV): report bus data 31 /FA1/XOR1/OUT/ (31)Handling[...]

  • Page 300

    FastScan and FlexTest Reference Manual, V8.6_4 2-272 Report Capture Handling Command Dictionary Report Capture Handling Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flat[...]

  • Page 301

    Command Dictionary Report Capture Handling FastScan and FlexTest Reference Manual, V8.6_4 2-273 • Gates A literal that displays the identification numbers of all the primitive gates between the source and sink points. Examples The following example sets up the data capture handling for one gate and then issues the Set Capture Handling command to [...]

  • Page 302

    FastScan and FlexTest Reference Manual, V8.6_4 2-274 Report Cell Constraints Command Dictionary Report Cell Constraints Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort CEll Constraints Description Displays a list of all the constrained scan cells. The Report Cell Constraints command displays a list of all the scan cells which y[...]

  • Page 303

    Command Dictionary Report Cell Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-275 add clocks 1 clk1 add scan groups group1 proc.g1 add scan chains chain1 group1 scanin1 scanout1 add scan chains chain2 group1 scanin2 scanout2 add cell constraints chain1 5 c0 add cell constraints chain2 p2.7p/qn report cell constraints Related Commands [...]

  • Page 304

    FastScan and FlexTest Reference Manual, V8.6_4 2-276 Report Clocks Command Dictionary Report Clocks Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort CLocks Description Displays a list of all the primary input pins currently in the clock list. The Report Clocks command displays a list of all clocks specified using the Add Clocks [...]

  • Page 305

    Command Dictionary Report Cone Blocks FastScan and FlexTest Reference Manual, V8.6_4 2-277 Report Cone Blocks Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort COne Blocks Description Displays the current user-defined output pin pathnames that the tool uses to calculate the clock and effect cones. The Report Cone Blocks command d[...]

  • Page 306

    FastScan and FlexTest Reference Manual, V8.6_4 2-278 Report Control Data Command Dictionary Report Control Data Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You must use the Analyze Control command prior to this command. Usage REPort COntrol Data [ filename ] [-Replace] [-Po] Description Displays information from the [...]

  • Page 307

    Command Dictionary Report Control Data FastScan and FlexTest Reference Manual, V8.6_4 2-279 Arguments • filename A string that specifies the name of the file to which you want to write the summary report. If you do not specify a filename, the command displays the information on the screen. • -Replace A switch that replaces the contents of the f[...]

  • Page 308

    FastScan and FlexTest Reference Manual, V8.6_4 2-280 Report Control Points Command Dictionary Report Control Points Tools Supported: FastScan Scope: Atpg, Fault, and Good. Usage REPort COntrol Points Description Displays the list of control points. The Report Control Points command displays a list of all control points added using the Add Control P[...]

  • Page 309

    Command Dictionary Report Core Memory FastScan and FlexTest Reference Manual, V8.6_4 2-281 Report Core Memory Tools Supported: FlexTest Scope: All modes Usage REPort COre Memory Description Displays the amount of memory FlexTest requires to avoid paging during the ATPG and simulation processes. The Report Core Memory command displays the peak memor[...]

  • Page 310

    FastScan and FlexTest Reference Manual, V8.6_4 2-282 Report Display Instances Command Dictionary Report Display Instances Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application. Usage REPort DIsplay Instances { gate_id# ... | instance_name | -All } [-Full] DFTIns[...]

  • Page 311

    Command Dictionary Report Display Instances FastScan and FlexTest Reference Manual, V8.6_4 2-283 • instance_name A repeatable string that specifies the name of a top-level instance within the design whose netlist information you want DFTInsight to transcribe. DFTInsight generates a report on the gate associated with that instance_name . • -All [...]

  • Page 312

    FastScan and FlexTest Reference Manual, V8.6_4 2-284 Report Display Instances Command Dictionary The next two commands show the differences between the high-level and the detailed reports: report display instances i_2_16 // /I_2_16/DFF0 (58) DFF report display instances i_2_16 - full // /I_2_16/DFF0 (58) DFF // SET I (0) 51- // RESET I (1) 47- // C[...]

  • Page 313

    Command Dictionary Report Drc Rules FastScan and FlexTest Reference Manual, V8.6_4 2-285 Report Drc Rules Tools Supported: FastScan and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes. Usage REPort DRc Rules [ rule_id-occurrence# ] [- Summary] [-Verbose] Description Displays either a summary of all the Design Rule Check (DRC)[...]

  • Page 314

    FastScan and FlexTest Reference Manual, V8.6_4 2-286 Report Drc Rules Command Dictionary occurrence number of that violation, and the hyphen between them. For example, you can analyze the second occurrence of the C3 rule by specifying C3-2. The tool assigns the occurrences of the rules violations as it encounters them; you cannot change either the [...]

  • Page 315

    Command Dictionary Report Drc Rules FastScan and FlexTest Reference Manual, V8.6_4 2-287 that the tool simulates when all the clocks are at their off-states and when the tool sets all the pin constraints to their constrained values. C2 — The netlist contains the failing clock pin and the gates in the path from it to the nearest sequential element[...]

  • Page 316

    FastScan and FlexTest Reference Manual, V8.6_4 2-288 Report Drc Rules Command Dictionary D3 — The netlist contains all the gates in the backtrace cone of the failing gate. The pin data shows the values the tool simulates for all time periods of the master_observe procedure. D4 — The netlist contains all the gates in the backtrace cone of the fa[...]

  • Page 317

    Command Dictionary Report Drc Rules FastScan and FlexTest Reference Manual, V8.6_4 2-289 E3 — There must be no inversion between MASTER and SLAVE for any scan cell. E4 — Tri-state drivers must not have conflicting values when driving the same net during the application of the test procedures. E5 — When constrained pins are at their constraine[...]

  • Page 318

    FastScan and FlexTest Reference Manual, V8.6_4 2-290 Report Drc Rules Command Dictionary T4 — The netlist contains all the gates in the backtrace cone of the clock inputs of the blocked gate. The pin data shows the values the tool simulates for all time periods of the shift procedure. T5 | T6 — The netlist contains all the gates in the backtrac[...]

  • Page 319

    Command Dictionary Report Drc Rules FastScan and FlexTest Reference Manual, V8.6_4 2-291 • -Summary A switch that displays the following for each user-controllable rule: o Rule identification number o Number of failures of each rule o Current handling status of that rule This is the command’s default. • -Verbose A switch that displays the fol[...]

  • Page 320

    FastScan and FlexTest Reference Manual, V8.6_4 2-292 Report Drc Rules Command Dictionary //----------------------------------------------------------- //Begin scan chain identification process, memory elements=8. //----------------------------------------------------------- // Reading group test procedure file /user/design/tpf. // Simulating load/u[...]

  • Page 321

    Command Dictionary Report Environment FastScan and FlexTest Reference Manual, V8.6_4 2-293 Report Environment Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort ENvironment Description Displays the current values of all the “set” commands. When you first invoke the tool, the Report Environment command shows all of the default [...]

  • Page 322

    FastScan and FlexTest Reference Manual, V8.6_4 2-294 Report Environment Command Dictionary The output from the Report Environment command may look like the following: abort limit = 30/50 atpg compression = OFF bist initialization = 0 capture clock = none checkpoint = OFF clockpo patterns = ON clock restriction = clock_po contention check = ON, mode[...]

  • Page 323

    Command Dictionary Report Failures FastScan and FlexTest Reference Manual, V8.6_4 2-295 Report Failures Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Prerequisites: You must specify the current pattern source with the Set Pattern Source command. Usage REPort FAIlures [{ pin_pathname -Stuck_at {0 | 1}} [-Max integer ] [-Pdet]] Descrip[...]

  • Page 324

    FastScan and FlexTest Reference Manual, V8.6_4 2-296 Report Failures Command Dictionary o The position in the scan chain of the failing scan cell. This position is 0 based, and 0 position is the scan cell closest to the scan-out pin. You use this command primarily for diagnostics. Arguments The Report Failures command requires that you, at minimum,[...]

  • Page 325

    Command Dictionary Report Failures FastScan and FlexTest Reference Manual, V8.6_4 2-297 • -Pdet An optional switch that specifies reporting of possible detections in addition to the binary detections for the specified fault. The default is: report only the binary detections. To use this option you must also specify the pin_pathname and -Stuck_at [...]

  • Page 326

    FastScan and FlexTest Reference Manual, V8.6_4 2-298 Report Faults Command Dictionary Report Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan Path Delay Faults Usage: REPort FAults [-Class class_type ] [- All | object_pathname ...] [-Both | -Rise | -Fall] Stuck/Toggle/Iddq Faults Usage: REPort FAul[...]

  • Page 327

    Command Dictionary Report Faults FastScan and FlexTest Reference Manual, V8.6_4 2-299 You can use the -Hierarchy option to display a hierarchical summary of the selected faults. The summary identifies the number of faults in each level of hierarchy whose level does not exceed the specified level number. You can further specify the hierarchical summ[...]

  • Page 328

    FastScan and FlexTest Reference Manual, V8.6_4 2-300 Report Faults Command Dictionary • -Stuck_at 01 | 0 | 1 An optional switch and literal pair that specifies the stuck-at faults that you want to display. The stuck-at literal choices are as follows: 01 — A literal that displays both the “stuck-at-0” and “stuck-at-1” faults. This is the[...]

  • Page 329

    Command Dictionary Report Faults FastScan and FlexTest Reference Manual, V8.6_4 2-301 • -All An optional switch that displays all of the faults on all model, netlist primitive, and top module pins. This is the default. • object_pathname An optional repeatable string that specifies a list of pins, instances, or delay paths whose faults you want [...]

  • Page 330

    FastScan and FlexTest Reference Manual, V8.6_4 2-302 Report Faults Command Dictionary Examples The following example displays all faults that have been added to the circuit before performing an ATPG run: set system mode atpg add faults - all report faults - all run Related Commands Add Faults Analyze Fault Delete Faults Load Faults Report Testabili[...]

  • Page 331

    Command Dictionary Report Feedback Paths FastScan and FlexTest Reference Manual, V8.6_4 2-303 Report Feedback Paths Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You can use this command only after the tool performs the learning process, which happens immediately after flattening a design to the simulation mode[...]

  • Page 332

    FastScan and FlexTest Reference Manual, V8.6_4 2-304 Report Feedback Paths Command Dictionary open schematic viewer set system mode atpg report feedback paths Loop#=0, feedback_buffer=26, #gates_in_network=5 INV /I_956__I_582/ (51) PBUS /I_956__I_582/N1/ (96) ZVAL /I_956__I_582/N1/ (101) INV /I_956__I_582/ (106) TIEX /I_956__I_582/ (26) Loop#=1, fe[...]

  • Page 333

    Command Dictionary Report Flatten Rules FastScan and FlexTest Reference Manual, V8.6_4 2-305 Report Flatten Rules Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort FLatten Rules [ rule_id [{ occurence_id | -Verbose}]] Description Displays either a summary of all the flattening rule violations or the data for a specific violation.[...]

  • Page 334

    FastScan and FlexTest Reference Manual, V8.6_4 2-306 Report Flatten Rules Command Dictionary FN4 — An instance net is not used. The default upon invocation is warning. FN5 — A multiple driven wired net. The default upon invocation is warning. FN6 — A bus net attribute cannot be used. The default upon invocation is warning. FN7 — Two connect[...]

  • Page 335

    Command Dictionary Report Flatten Rules FastScan and FlexTest Reference Manual, V8.6_4 2-307 FP11 — An input pin is used as in/out pin. The default upon invocation is ignored. FP12 — An output pin has no fan-out. The default upon invocation is ignored. FP13 — An input pin has a floating instance in the netlist module. This default upon invoca[...]

  • Page 336

    FastScan and FlexTest Reference Manual, V8.6_4 2-308 Report Flatten Rules Command Dictionary • -Verbose A switch that displays the following for each flattening rule: o Rule identification number o Number of failures of each rule o Current handling status of that rule o Brief description of that rule. Example The following example shows the summa[...]

  • Page 337

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-309 Report Gates Tools Supported: FastScan and FlexTest Scope: All modes (In Setup and DRC modes, FlexTest supports the same usage as FastScan) Prerequisites: Although you can use this command in all modes, you can use it in the Setup mode only after the tool flattens [...]

  • Page 338

    FastScan and FlexTest Reference Manual, V8.6_4 2-310 Report Gates Command Dictionary The format for the design-level report is: instance_name cell_type input_pin_name I (data) pin_pathname... ... output_pin_name 0 (data) pin_pathname... ... The format for the primitive-level report is: instance_name (gate_ID#) gate_type input_pin_name I (data) gate[...]

  • Page 339

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-311 • Case 1: No Capture Clock There will be 1 or 2 values in the last pair of ()s. The first value is the simulation state that results from holding all PIs at their pin constrained value and setting all clocks to X at the end of load/unload. If any state element ha[...]

  • Page 340

    FastScan and FlexTest Reference Manual, V8.6_4 2-312 Report Gates Command Dictionary • Case 2: Capture Clock -Atpg There will be 3 or 4 values in the last pair of ()s. The first three values result from simulating a pulse of the capture clock with all other clocks set to the off value. If any state element has a different binary value than the on[...]

  • Page 341

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-313 When using the B and F commands in FastScan, all arguments must be given at the primitive level. For pins that are not at the library cell boundary (pins internal to the model), the pin name is enclosed in (“). The following example displays this issue. ATPG> [...]

  • Page 342

    FastScan and FlexTest Reference Manual, V8.6_4 2-314 Report Gates Command Dictionary Reporting on the First Fanout of a Gate Similar to tracing backward through circuitry, you can also use a shortcut to trace forward through the first fanout of the previously reported gate. To use Report Gates in this manner, first report on a specific gate and the[...]

  • Page 343

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-315 RAM behavior summary information at the end of the displayed data. The report displays the following messages: write port: write=G/G (vvv-v-v) first_adr=G first_di=G stability=vv read port: read=G/G (vvv-v-v) first_adr=G first_do=G stability=vv Test behavior: Stabi[...]

  • Page 344

    FastScan and FlexTest Reference Manual, V8.6_4 2-316 Report Gates Command Dictionary The following describes the fields for the write port message line: write port: write=G/G (vvv-v-v) first_adr=G first_di=G stability=vv Identifies the behavior of the write clock and write enable lines for a given write port: G/G Two gate index numbers separated by[...]

  • Page 345

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-317 The following describes the fields for the read port message line: read port: read=G/G (vvv-v-v) first_adr=G first_do=G stability=vv Identifies the behavior of the read clock and read enable lines for a given write port: G/G Two gate index numbers separated by a ?[...]

  • Page 346

    FastScan and FlexTest Reference Manual, V8.6_4 2-318 Report Gates Command Dictionary The following describes the fields for the Test behavior message line: Test behavior: Stability=vvvv tiex_flag=v read_only_flag=v ramseq_flags=v/v(vv) Identifies whether FastScan treats the RAM as a tieX gate. The possible values are: Identifies whether FastScan ca[...]

  • Page 347

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-319 The following describes the fields for the Contention Behavior message line: Arguments The following lists the three methods for naming the objects on which you want the tool to display gate information. You can use any number of the three argument choices, in any [...]

  • Page 348

    FastScan and FlexTest Reference Manual, V8.6_4 2-320 Report Gates Command Dictionary • gate_id# — A repeatable integer that specifies the gate identification numbers of the objects. The value of the gate_id# argument is the unique identification number that the tool automatically assigns to every gate within the design during the model flatteni[...]

  • Page 349

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-321 For a gate whose output is constrained to a fixed value, the tool reports only other gates whose output is also constrained. For a gate whose output is not constrained to a fixed value, the tools reports only other gates whose outputs are not constrained. During ba[...]

  • Page 350

    FastScan and FlexTest Reference Manual, V8.6_4 2-322 Report Gates Command Dictionary XOR XOR exclusive-or NXOR NXOR inverted exclusive-or DFF DFF D flip-flop, same as _dff library primitive LA DLAT latch, same as _dlat library primitive PI PI primary input PO PO primary output TIE0 TIE0 tied low TIE1 TIE1 tied high TIEX TIEX tied unknown TIEZ TIEZ [...]

  • Page 351

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-323 SW NMOS switch gate, first input is active high enable line for FastScan, and second input is active high enable line for FlexTest PBUS SWBUS pulled bus gate, where the second input is the pulled value OUT ROUT memory model gate, created for each read data bit RAM [...]

  • Page 352

    FastScan and FlexTest Reference Manual, V8.6_4 2-324 Report Gates Command Dictionary For more information on using the clock port options, refer to “ The ATPG Analysis Option ” of the Design-for-Test: Common Resources Manual . Examples The following example displays the simulated values of the gate and its inputs at the primitive level: set sys[...]

  • Page 353

    Command Dictionary Report Gates FastScan and FlexTest Reference Manual, V8.6_4 2-325 // "I4" I 42- // "I5" I 43- // "I6" I 44- // "I7" I 45- // "I8" I 46- // WCK0 I 28- // "I10" I 19- // A14 I 29- // A13 I 30- // A12 I 31- // A11 I 32- // A10 I 34- // D14 I 66-/P1.RAM/D1[0] // D13 I 65-/P1[...]

  • Page 354

    FastScan and FlexTest Reference Manual, V8.6_4 2-326 Report Hosts Command Dictionary Report Hosts Tools Supported: FlexTest Scope: Only valid when using Distributed FlexTest Usage REPort Hosts Description Displays information on the hosts available for distributed processing. The Report Hosts command lists the various attributes of the remote machi[...]

  • Page 355

    Command Dictionary Report Id Stamp FastScan and FlexTest Reference Manual, V8.6_4 2-327 Report Id Stamp Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Usage REPort ID Stamp Description Displays the unique identifier that FastScan assigns each internal pattern set. The Report Id Stamp command displays the current internal pattern set?[...]

  • Page 356

    FastScan and FlexTest Reference Manual, V8.6_4 2-328 Report Id Stamp Command Dictionary Related Commands Save Patterns[...]

  • Page 357

    Command Dictionary Report Iddq Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-329 Report Iddq Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Usage REPort IDdq Constraints [ pinname ... [-Model modelname ]] Description Displays the current IDDQ constraints for the specified pins. The Report Iddq Constraints comman[...]

  • Page 358

    FastScan and FlexTest Reference Manual, V8.6_4 2-330 Report Iddq Constraints Command Dictionary Related Commands Add Iddq Constraints Delete Iddq Constraints[...]

  • Page 359

    Command Dictionary Report Initial States FastScan and FlexTest Reference Manual, V8.6_4 2-331 Report Initial States Tools Supported: FlexTest Scope: All modes Usage REPort INitial States [- All | instance_name ...] Description Displays the initial state settings of the specified design instances. The Report Initial States command displays different[...]

  • Page 360

    FastScan and FlexTest Reference Manual, V8.6_4 2-332 Report Initial States Command Dictionary Related Commands Add Initial States Delete Initial States Write Initial States[...]

  • Page 361

    Command Dictionary Report LFSR Connections FastScan and FlexTest Reference Manual, V8.6_4 2-333 Report LFSR Connections Tools Supported: FastScan Scope: All modes Usage REPort LFsr Connections Description Displays a list of all the connections between Linear Feedback Shift Registers (LFSRs) and primary pins. The Report LFSR Connections command disp[...]

  • Page 362

    FastScan and FlexTest Reference Manual, V8.6_4 2-334 Report LFSRs Command Dictionary Report LFSRs Tools Supported: FastScan Scope: All modes Usage REPort LFsrs Description Displays a list of definitions for all the current Linear Feedback Shift Registers (LFSRs). The Report LFSRs command displays all of the LFSRs with their current values and tap p[...]

  • Page 363

    Command Dictionary Report Lists FastScan and FlexTest Reference Manual, V8.6_4 2-335 Report Lists Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort LIsts Description Displays a list of pins which the tool reports on while in the Fault or Good simulation system mode. The Report Lists command displays all of the pins for which the [...]

  • Page 364

    FastScan and FlexTest Reference Manual, V8.6_4 2-336 Report Loops Command Dictionary Report Loops Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage REPort LOops Description Displays a list of all the current loops. The Report Loops command displays all the loops in the circuit. For each loop, the report indicates wheth[...]

  • Page 365

    Command Dictionary Report Mos Direction FastScan and FlexTest Reference Manual, V8.6_4 2-337 Report Mos Direction Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage REPort MOs Direction [-Unidirection | - Bidirection | -All] Description Reports the direction MOS instances i[...]

  • Page 366

    FastScan and FlexTest Reference Manual, V8.6_4 2-338 Report Net Properties Command Dictionary Report Net Properties Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command can only operate on a Spice design. Usage REPort NEt Properties { -VDD | - GND | -All } Description Reports the VDD or GND net properties in the Spic[...]

  • Page 367

    Command Dictionary Report Nofaults FastScan and FlexTest Reference Manual, V8.6_4 2-339 Report Nofaults Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort NOfaults pathname ... | -All [-Instance] [-Stuck_at { 01 | 0 | 1}] [-Class { Full | User | System}] Description Displays the nofault settings for the specified pin pathnames or [...]

  • Page 368

    FastScan and FlexTest Reference Manual, V8.6_4 2-340 Report Nofaults Command Dictionary 0 — A literal that displays only the “stuck-at-0” nofault settings. 1 — A literal that displays only the “stuck-at-1” nofault settings. • -Class Full | User | System An optional switch and literal pair that specifies the source (or class) of the no[...]

  • Page 369

    Command Dictionary Report Nonscan Cells FastScan and FlexTest Reference Manual, V8.6_4 2-341 Report Nonscan Cells Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan REPort NOnscan Cells [-All | -TIE0 | -TIE1 | -TIEX | -TLatch | -Clock_sequential | -INIT0 | -INIT1] For FlexTest REPort NOnscan Cells [-All | -[...]

  • Page 370

    FastScan and FlexTest Reference Manual, V8.6_4 2-342 Report Nonscan Cells Command Dictionary • -TIE0 An optional switch that displays the non-scan cells which are always 0 after each loading and before the next unloading. Non-scan cells that the tool models as TIE0 indicate that the pin constraints hold the cell’s value during non-scan operatio[...]

  • Page 371

    Command Dictionary Report Nonscan Cells FastScan and FlexTest Reference Manual, V8.6_4 2-343 Non-scan cells that the tool models as INIT1 indicate one of the following: o The test procedure can set the memory element to 1 after scan loading. o The non-off clock is a set. • -Hold (FlexTest Only) An optional switch that displays the non-scan cells [...]

  • Page 372

    FastScan and FlexTest Reference Manual, V8.6_4 2-344 Report Nonscan Cells Command Dictionary FlexTest Example The following FlexTest example displays only the non-scan cells that FlexTest initializes to X after each loading. add scan groups g1 proc.g1 add scan chains c1 g1 scin scout add clocks 0 clk set system mode atpg report nonscan cells -initx[...]

  • Page 373

    Command Dictionary Report Nonscan Handling FastScan and FlexTest Reference Manual, V8.6_4 2-345 Report Nonscan Handling Tools Supported: FlexTest Scope: All modes Usage REPort NOnscan Handling [ element_pathname ... | -All] Description Displays the overriding learned behavior classification for the specified non-scan elements. The Report Nonscan Ha[...]

  • Page 374

    FastScan and FlexTest Reference Manual, V8.6_4 2-346 Report Notest Points Command Dictionary Report Notest Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage REPort Notest Points Description Displays all the circuit points for which you do not want FastScan to insert controllability and observability. The Report Notest Points[...]

  • Page 375

    Command Dictionary Report Observe Data FastScan and FlexTest Reference Manual, V8.6_4 2-347 Report Observe Data Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Prerequisites: You must use the Analyze Observe command prior to this command. Usage REPort OBserve Data [ filename ] [-Replace] Description Displays information from the preced[...]

  • Page 376

    FastScan and FlexTest Reference Manual, V8.6_4 2-348 Report Observe Data Command Dictionary Arguments • filename An optional string that specifies the filename to which you want to write the summary report. If you do not specify a filename, the command displays the information on the screen. • -Replace An optional switch that replaces the conte[...]

  • Page 377

    Command Dictionary Report Observe Points FastScan and FlexTest Reference Manual, V8.6_4 2-349 Report Observe Points Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage REPort OBserve Points Description Displays a list of all the current observe points. The Report Observe Points command displays a list of all the observe points added [...]

  • Page 378

    FastScan and FlexTest Reference Manual, V8.6_4 2-350 Report Output Masks Command Dictionary Report Output Masks Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort OUtput Masks Description Displays a list of the currently masked primary output pins. The Report Output Masks command displays the primary output pins masked using the A[...]

  • Page 379

    Command Dictionary Report Paths FastScan and FlexTest Reference Manual, V8.6_4 2-351 Report Paths Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Usage REPort PAths [- All | path_name ] [-Path gate_id_begin gate_id_end ] Description Displays the path definitions of the specified loaded paths. The Report Paths command displays the inter[...]

  • Page 380

    FastScan and FlexTest Reference Manual, V8.6_4 2-352 Report Paths Command Dictionary Examples The following example reads in (loads) the path information and then displays that data: set fault type path_delay load paths /user/design/pathfile report paths PATH “path0” = PIN /I$6/Q + ; PIN /I$35/B0 + ; PIN /I$35/C0 + ; PIN /I$1/I$650/IN + ; PIN /[...]

  • Page 381

    Command Dictionary Report Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-353 Report Pin Constraints Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan REPort PIn Constraints For FlexTest REPort PIn Constraints [-All | primary_input_pin ...] Description Displays the pin constraints of the primary inputs. The[...]

  • Page 382

    FastScan and FlexTest Reference Manual, V8.6_4 2-354 Report Pin Constraints Command Dictionary Arguments • -All (FlexTest Only) An optional switch that displays the current constraints for all primary input pins. This is the default. • primary_input_pin (FlexTest Only) An optional repeatable string that specifies a list of primary input pins wh[...]

  • Page 383

    Command Dictionary Report Pin Equivalences FastScan and FlexTest Reference Manual, V8.6_4 2-355 Report Pin Equivalences Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort PIn Equivalences Description Displays the pin equivalences of the primary inputs. The Report Pin Equivalences command displays a list of primary inputs which you[...]

  • Page 384

    FastScan and FlexTest Reference Manual, V8.6_4 2-356 Report Pin Strobes Command Dictionary Report Pin Strobes Tools Supported: FlexTest Scope: All modes Usage REPort PIn Strobes [- All | primary_output_pin ...] Description Displays the current pin strobe timing for the specified primary output pins. The Report Pin Strobes command displays the strob[...]

  • Page 385

    Command Dictionary Report Primary Inputs FastScan and FlexTest Reference Manual, V8.6_4 2-357 Report Primary Inputs Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort PRimary Inputs [- All | net_pathname ... | primary_input_pin ...] [-Class { Full | User | System}] Description Displays the specified primary inputs. The Report Prim[...]

  • Page 386

    FastScan and FlexTest Reference Manual, V8.6_4 2-358 Report Primary Inputs Command Dictionary User — A literal that displays only the user-entered primary input pins. System — A literal that displays only the netlist-described primary input pins. Examples The following example displays the full classes of primary inputs: add primary inputs inda[...]

  • Page 387

    Command Dictionary Report Primary Outputs FastScan and FlexTest Reference Manual, V8.6_4 2-359 Report Primary Outputs Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort PRimary Outputs [- All | net_pathname ... | primary_output_pin ...] [-Class { Full | User | System}] Description Displays the specified primary outputs. The Report[...]

  • Page 388

    FastScan and FlexTest Reference Manual, V8.6_4 2-360 Report Primary Outputs Command Dictionary User — A literal that displays only the user-entered primary input pins. System — A literal that displays only the netlist-described primary input pins. Examples The following example displays all primary outputs in the user class: add primary outputs[...]

  • Page 389

    Command Dictionary Report Procedure FastScan and FlexTest Reference Manual, V8.6_4 2-361 Report Procedure Tools Supported: FastScan and FlexTest Scope: All modes except Setup mode Usage REPort PRocedure { procedure_name [ group_name ] | - All } Description Displays the specified procedure. The Report Procedure command displays the specified procedu[...]

  • Page 390

    FastScan and FlexTest Reference Manual, V8.6_4 2-362 Report Pulse Generators Command Dictionary Report Pulse Generators Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort PUlse Generators Description Displays the list of pulse generator sink (PGS) gates. The Report Pulse Generators command displays the list of PGS gates that the t[...]

  • Page 391

    Command Dictionary Report Random Weights FastScan and FlexTest Reference Manual, V8.6_4 2-363 Report Random Weights Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage REPort RAndom Weights Description Displays the current random pattern weighting factors for all primary inputs in the random weight list. The Report Random Weights com[...]

  • Page 392

    FastScan and FlexTest Reference Manual, V8.6_4 2-364 Report Read Controls Command Dictionary Report Read Controls You Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort REad Controls Description Displays all of the currently defined read control lines. The Report Read Controls command displays all the read control lines specified [...]

  • Page 393

    Command Dictionary Report Scan Cells FastScan and FlexTest Reference Manual, V8.6_4 2-365 Report Scan Cells Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage REPort SCan CElls [- All | chain_name ...] Description Displays a report on the scan cells that reside in the specified scan chains. The Report Scan Cells command[...]

  • Page 394

    FastScan and FlexTest Reference Manual, V8.6_4 2-366 Report Scan Cells Command Dictionary Arguments • -All An optional switch that displays the scan cells for all scan chains. This is the default. • chain_name An optional repeatable string that specifies the scan chains whose scan cells you want to display. Examples The following example displa[...]

  • Page 395

    Command Dictionary Report Scan Cells FastScan and FlexTest Reference Manual, V8.6_4 2-367 1. Inversion of the scan cell input pin, library cell input pin, or scan subchain relative to the scan chain input pin. 2. Inversion of the scan cell output pin, library cell output pin, or scan subchain relative to the scan chain output pin. 3. Inversion of t[...]

  • Page 396

    FastScan and FlexTest Reference Manual, V8.6_4 2-368 Report Scan Chains Command Dictionary Report Scan Chains Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort SCan CHains Description Displays a report on all the current scan chains. The Report Scan Chains command provides the following information in a report for each scan chain[...]

  • Page 397

    Command Dictionary Report Scan Groups FastScan and FlexTest Reference Manual, V8.6_4 2-369 Report Scan Groups Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort SCan Groups Description Displays a report on all the current scan chain groups. The Report Scan Groups command provides the following information in a report for each scan[...]

  • Page 398

    FastScan and FlexTest Reference Manual, V8.6_4 2-370 Report Scan Instances Command Dictionary Report Scan Instances Tools Supported: FlexTest Scope: All modes Usage REPort SCan Instances [-Class { Full | User | System}] Description Displays the currently defined sequential scan instances. The Report Scan Instances command displays the sequential sc[...]

  • Page 399

    Command Dictionary Report Scan Models FastScan and FlexTest Reference Manual, V8.6_4 2-371 Report Scan Models Tools Supported: FlexTest Scope: All modes Usage REPort SCan Models Description Displays the sequential scan models currently in the scan model list. The Report Scan Models command displays sequential models which you previously added to th[...]

  • Page 400

    FastScan and FlexTest Reference Manual, V8.6_4 2-372 Report Seq_transparent Procedures Command Dictionary Report Seq_transparent Procedures Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage REPort SEq_transparent Procedures [- All | procedure_name ...] [-CElls] [-Load_disturbs] [-CApture_disturbs] Description Displays a list of seq[...]

  • Page 401

    Command Dictionary Report Seq_transparent Procedures FastScan and FlexTest Reference Manual, V8.6_4 2-373 • -Load_disturbs An optional switch that displays the scan cells with load disturbs associated with the specified procedures. By default, FastScan does not display these cells. • -CApture_disturbs An optional switch that displays the scan c[...]

  • Page 402

    FastScan and FlexTest Reference Manual, V8.6_4 2-374 Report Slow Pads Command Dictionary Report Slow Pads Tools: FastScan Scope: Atpg mode Usage REPort SLow Pads Description Displays all I/O pins marked as slow. The Report Slow Pad command displays all primary I/O pins which have been marked as slow. Slow I/O pins need special simulation to prevent[...]

  • Page 403

    Command Dictionary Report Statistics FastScan and FlexTest Reference Manual, V8.6_4 2-375 Report Statistics Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort STAtistics [-Instance instance_pathname ] Description Displays a detailed report of the design’s simulation statistics. The Report Statistics command displays a detailed s[...]

  • Page 404

    FastScan and FlexTest Reference Manual, V8.6_4 2-376 Report Statistics Command Dictionary If a pattern type has no patterns, the report does not display the count for that type. If all patterns are basic patterns, it will not display any count. And it counts clock_sequential patterns that are also clock_po only as clock_sequential patterns. Refer t[...]

  • Page 405

    Command Dictionary Report Statistics FastScan and FlexTest Reference Manual, V8.6_4 2-377 • Test Patterns Statistics which lists the total numbers for the following: o total patterns currently in the test pattern set o total number of patterns simulated in the preceding simulation process • Runtime Statistics which lists the following: o Machin[...]

  • Page 406

    FastScan and FlexTest Reference Manual, V8.6_4 2-378 Report Statistics Command Dictionary FastScan Example The following shows a FastScan statistics report for the Report Statistics command: Statistics report -------------------------------------- #faults #faults fault Class (coll.) (total) -------------------------------------- FU (full) 15923 399[...]

  • Page 407

    Command Dictionary Report Statistics FastScan and FlexTest Reference Manual, V8.6_4 2-379 *****Fault List Statistics***** Fault Class Uncollapsed Collapsed Full (FU) 120 56 Det_simulation (DS) 72 28 Det_implication (DI) 48 28 Fault coverage 100.00% 100.00% Test coverage 100.00% 100.00% Atpg effectiveness 100.00% 100.00% *****Test Patterns Statistic[...]

  • Page 408

    FastScan and FlexTest Reference Manual, V8.6_4 2-380 Report Test Stimulus Command Dictionary Report Test Stimulus Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage REPort TEst Stimulus -Set {{ id# | pin_pathname } { 0 | 1 | Z }}... | -Write {{ id# | RAM_instance_name } [ address_values ] [ data_values ]}... | -Read {{ id# | RAM_ins[...]

  • Page 409

    Command Dictionary Report Test Stimulus FastScan and FlexTest Reference Manual, V8.6_4 2-381 specify multiple argument pairs with a single -Set switch. The following describes the possible switch arguments and literals: id# — An integer that specifies the gate identification number of the gate whose output pin you want to set. The gate may not be[...]

  • Page 410

    FastScan and FlexTest Reference Manual, V8.6_4 2-382 Report Test Stimulus Command Dictionary • -Read id# | instance_name address_values A switch with a repeatable argument that specifies the RAM from which you want to read and, optionally, its address value. You may specify multiple argument pairs with a single -Read switch. The following describ[...]

  • Page 411

    Command Dictionary Report Test Stimulus FastScan and FlexTest Reference Manual, V8.6_4 2-383 MUX input pin, etc. The conditions necessary to observe the output pins (or pin) of that primitive are calculated and reported. By issuing successive - sensitize options with different pin pathnames while also including the - previous option, you can find ([...]

  • Page 412

    FastScan and FlexTest Reference Manual, V8.6_4 2-384 Report Test Stimulus Command Dictionary -expect. The bits should be specified in the same order as the RAM’s outputs. If a RAM named mem1 is specified with outputs Dout<7>..Dout<0>, then if the expected outputs are included, there must be 8 expected values starting with the expected[...]

  • Page 413

    Command Dictionary Report Test Stimulus FastScan and FlexTest Reference Manual, V8.6_4 2-385 Examples The following example displays the stimulus necessary to satisfy the given conditions for a RAM gate (gate ID number is 67) which contains five address and data lines: set system mode atpg report test stimulus -write 67 01011 11011 The following is[...]

  • Page 414

    FastScan and FlexTest Reference Manual, V8.6_4 2-386 Report Testability Data Command Dictionary Report Testability Data Tools Supported: FastScan and FlexTest FastScan Scope: Atpg, Fault, and Good modes FlexTest Scope: Atpg and Fault modes Usage REPort TEstability Data -Class class_type [ filename ] [-Replace] Description Analyzes collapsed faults [...]

  • Page 415

    Command Dictionary Report Testability Data FastScan and FlexTest Reference Manual, V8.6_4 2-387 • No-strobed POs • Uninitialized latches • Internally tied gates (identified by learning) If you specify a filename argument, the command writes to the file the list of faults with their connection information and displays to the screen the summary[...]

  • Page 416

    FastScan and FlexTest Reference Manual, V8.6_4 2-388 Report Testability Data Command Dictionary Related Commands Add Faults Analyze Fault Delete Faults Load Faults Report Faults Write Faults[...]

  • Page 417

    Command Dictionary Report Tied Signals FastScan and FlexTest Reference Manual, V8.6_4 2-389 Report Tied Signals Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort TIed Signals [-Class { Full | User | System}] Description Displays a list of the tied floating signals and pins. The Report Tied Signals command displays either the user[...]

  • Page 418

    FastScan and FlexTest Reference Manual, V8.6_4 2-390 Report Tied Signals Command Dictionary Related Commands Add Tied Signals Delete Tied Signals Setup Tied Signals[...]

  • Page 419

    Command Dictionary Report Timeplate FastScan and FlexTest Reference Manual, V8.6_4 2-391 Report Timeplate Tools Supported: FastScan and FlexTest Scope: All modes except Setup mode Usage REPort TImeplate timeplate_name | - All Description Displays the specified timeplate. The Report Timeplate command displays the specified timeplate to the screen. A[...]

  • Page 420

    FastScan and FlexTest Reference Manual, V8.6_4 2-392 Report Version Data Command Dictionary Report Version Data Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort VErsion Data Description Displays the current software version information. The Report Version Data command displays information relating to the software title, version,[...]

  • Page 421

    Command Dictionary Report Write Controls FastScan and FlexTest Reference Manual, V8.6_4 2-393 Report Write Controls Tools Supported: FastScan and FlexTest Scope: All modes Usage REPort WRite Controls Description Displays the currently defined write control lines and their off-states. The Report Write Controls command displays the write control line[...]

  • Page 422

    FastScan and FlexTest Reference Manual, V8.6_4 2-394 Reset Au Faults Command Dictionary Reset Au Faults Tools Supported: FastScan and FlexTest Scope: Atpg and Fault modes Usage RESet AU Faults Description Re-classifies the faults in certain untestable categories. The Reset Au Faults command re-classifies the following untestable faults as shown: De[...]

  • Page 423

    Command Dictionary Reset Au Faults FastScan and FlexTest Reference Manual, V8.6_4 2-395 Examples The following example sets up the tool to run the simulation with an external pattern file and resets the ATPG untestable faults so that the tool can determine their appropriate fault category: set pattern souce external testpatterns load faults /user/d[...]

  • Page 424

    FastScan and FlexTest Reference Manual, V8.6_4 2-396 Reset State Command Dictionary Reset State Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage RESet STate Description Resets the circuit status. The Reset State command resets the circuit status differently depending on the mode from which you issue the command. The f[...]

  • Page 425

    Command Dictionary Resume Interrupted Process FastScan and FlexTest Reference Manual, V8.6_4 2-397 Resume Interrupted Process Tools Supported: FlexTest Scope: All modes Prerequisites: The Set Interrupt Handling must be on and you must interrupt a FlexTest command with a Control-C. Usage RESume INterrupted Process Description Continues a command tha[...]

  • Page 426

    FastScan and FlexTest Reference Manual, V8.6_4 2-398 Resume Interrupted Process Command Dictionary Related Commands Abort Interrupted Process Set Interrupt Handling[...]

  • Page 427

    Command Dictionary Run FastScan and FlexTest Reference Manual, V8.6_4 2-399 Run Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan RUN [-RETain_abort] [-NOAnalyze] For FlexTest RUN [-Begin begin_number ] [-End end_number ] [-Record cycles ] [-RETain_abort] [-NOAnalyze] [-Message integer ] Description Runs a[...]

  • Page 428

    FastScan and FlexTest Reference Manual, V8.6_4 2-400 Run Command Dictionary suspend or terminate the simulation by using the Set Interrupt Handling command and the Control-C keys. During a random and deterministic ATPG run, the Run command displays statistics. The statistics consist of the number of test cycles, the number of detected faults and ot[...]

  • Page 429

    Command Dictionary Run FastScan and FlexTest Reference Manual, V8.6_4 2-401 • -Message integer (FlexTest Only) An optional switch and integer pair that specifies the period, in minutes, of displaying the transcript or writing a logfile. A logfile is defined by using the Set Logfile Handling command. Information is reported at the given period, in[...]

  • Page 430

    FastScan and FlexTest Reference Manual, V8.6_4 2-402 Run Command Dictionary The following example runs an ATPG process, reporting the status every 5 minutes, after adding all faults to the circuit and setting the logfile to example.logfile : set system mode atpg add faults - all set logfile handling example.logfile run -message 5 Related Commands R[...]

  • Page 431

    Command Dictionary Save Flattened Model FastScan and FlexTest Reference Manual, V8.6_4 2-403 Save Flattened Model Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Mo[...]

  • Page 432

    FastScan and FlexTest Reference Manual, V8.6_4 2-404 Save Flattened Model Command Dictionary • -Replace An optional argument that allows you to overwrite an existing circuit model file. • -Flat An optional argument that specifies only the circuit model information will be saved excluding all DRC related information that exists when the command [...]

  • Page 433

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-405 Save Patterns Tools Supported: FastScan and FlexTest Scope: Atpg mode FastScan Prerequisites: You may use this command in the Good system mode if the pattern source is external and you use the -Store_patterns option with the Set Pattern Source command. Usage For F[...]

  • Page 434

    FastScan and FlexTest Reference Manual, V8.6_4 2-406 Save Patterns Command Dictionary heading “Arguments”. When saving your patterns using the Save Patterns command, choose the format_switch that best suits your needs. The tool by default pads excess load and unload values with Xs. You can override the default by specifying the -Nopadding. The [...]

  • Page 435

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-407 If you use the -External or the -Begin and -End switches, thereby not saving all the internal patterns, the tool does not include test coverage and fault information in the ASCII pattern set. -BInary — (FastScan only) A switch that writes the patterns in binary [...]

  • Page 436

    FastScan and FlexTest Reference Manual, V8.6_4 2-408 Save Patterns Command Dictionary -Zycad — A switch that writes the patterns in Zycad format. This is a serial format so, you must also specify the -Serial switch; failure to do so results in the command using the -Parallel default which generates an error. Many ASIC vendors accept test pattern [...]

  • Page 437

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-409 -TSTl2 — A switch that writes the patterns in Toshiba Standard Tester Interface Language 2. -Utic — A switch that writes the patterns in the Motorola UTIC format. • -NOInitialization A switch that writes patterns without creating the initialization cycle in [...]

  • Page 438

    FastScan and FlexTest Reference Manual, V8.6_4 2-410 Save Patterns Command Dictionary • - PROcfile An optional string which specifies for the tool to save patterns using the enhanced AVI output which gets its timing information from the enhanced procedure file. The procedure file is either specified on the command line or previously loaded using [...]

  • Page 439

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-411 • -Serial An optional switch that saves all scan cells in series. You can only use this switch with the -Lsim, -Mgcwdb, -Tssiwgl, -Verilog, -VHdl, or -Zycad format type switches. • -EXternal An optional switch that saves the current external pattern set to the[...]

  • Page 440

    FastScan and FlexTest Reference Manual, V8.6_4 2-412 Save Patterns Command Dictionary pattern of the pattern set. pattern_number is an integer and pattern_name is a string generated by using the -tag switch (which specifies a prefix for all pattern names). For example, pattern_name = tag_name _1, tag_name _2, etc. If you save only a portion of the [...]

  • Page 441

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-413 None — A literal that excludes the scan data from the file. • -ENVironment (FastScan only) An optional switch that includes information about the current FastScan environment into the pattern file as comments. The information includes the identification stamp [...]

  • Page 442

    FastScan and FlexTest Reference Manual, V8.6_4 2-414 Save Patterns Command Dictionary • -NOPadding ( ASCII patterns only ) An optional switch that saves the ASCII patterns with unpadded scan load and load data. The tool eliminates the extra X values that are due to short scan chains. This is the default. You can only use this switch with the -Asc[...]

  • Page 443

    Command Dictionary Save Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-415 Related Commands Add Scan Groups Read Procfile Report Procedure Report Timeplate Save Patterns Set Pattern Source Write Procfile[...]

  • Page 444

    FastScan and FlexTest Reference Manual, V8.6_4 2-416 Save Schematic Command Dictionary Save Schematic Tools Supported: DFTInsight, FastScan and FlexTest Scope: All modes Usage SAVe SChematic filename [-Replace] DFTInsight Menu Path: File > Save > Schematic Description Saves the schematic currently displayed by DFTInsight. The Save Schematic c[...]

  • Page 445

    Command Dictionary Select Iddq Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-417 Select Iddq Patterns Tools Supported: FastScan and FlexTest Scope: Atpg mode Prerequisites: You must have set the fault type to IDDQ by using the Set Fault Type -Iddq command. Also, you must use either the internal or external pattern source; you cannot use[...]

  • Page 446

    FastScan and FlexTest Reference Manual, V8.6_4 2-418 Select Iddq Patterns Command Dictionary measurements and those are the only patterns of interest, use the Set Iddq Strobe -Label command to simulate only those patterns that contain an IDDQ measure statement. This option is the default upon tool invocation. If you wish to simulate all the pattern[...]

  • Page 447

    Command Dictionary Select Iddq Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-419 statement. (FastScan gives the normal fault simulation message after each set of 32 patterns.) The tool uses this simulation to calculate the final test coverage and also to give credit for the possibly-detected faults. After the tool finishes this IDDQ pat[...]

  • Page 448

    FastScan and FlexTest Reference Manual, V8.6_4 2-420 Select Iddq Patterns Command Dictionary Arguments • -Max_measures number An optional switch and integer pair that specifies the maximum number of patterns (cycles) with an IDDQ measure statement that the tool allows in the final set. Once the command identifies the maximum number of IDDQ measur[...]

  • Page 449

    Command Dictionary Select Iddq Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-421 • -Noeliminate An optional switch that retains all patterns in the pattern set. You can look for the IDDQ measure statement to identify the patterns that the tool selected to perform an IDDQ measurement. • -EXhaustive (FlexTest only) An optional switch [...]

  • Page 450

    FastScan and FlexTest Reference Manual, V8.6_4 2-422 Select Object Command Dictionary Select Object Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage SELect OBject -ALL | {{ gate_id# | pin_pathname | instance_name }...[...]

  • Page 451

    Command Dictionary Select Object FastScan and FlexTest Reference Manual, V8.6_4 2-423 • -ADd An optional switch that adds the objects that you specify to the selection list without first clearing the previously selected objects from the list. Examples The following example selects one object and then adds two more objects to the selection list: s[...]

  • Page 452

    FastScan and FlexTest Reference Manual, V8.6_4 2-424 Set Abort Limit Command Dictionary Set Abort Limit Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET ABort Limit comb_abort_limit [ seq_abort_limit ] For FlexTest SET ABort Limit [-Backtrack integer ] [-Cycle integer ] [-Time integer ] Description Specifies the abort [...]

  • Page 453

    Command Dictionary Set Abort Limit FastScan and FlexTest Reference Manual, V8.6_4 2-425 FlexTest Specifics The Set Abort Limit command specifies three ways for the test pattern generator to abort a target fault. One way is to set the maximum number of conflicts that the test pattern generator allows before aborting a target fault. The second way is[...]

  • Page 454

    FastScan and FlexTest Reference Manual, V8.6_4 2-426 Set Abort Limit Command Dictionary • -Cycle integer (FlexTest only) An optional switch and greater-than-0 integer pair that specifies the number of test cycles that the test pattern generator allows before aborting the target fault. The invocation default is 300 test cycles. • -Time integer ([...]

  • Page 455

    Command Dictionary Set Atpg Compression FastScan and FlexTest Reference Manual, V8.6_4 2-427 Set Atpg Compression Tools Supported: FastScan Scope: All modes Usage SET ATpg Compression [ OFf | ON] [-Limit number ] [-NOVerbose | -Verbose] [-Abort_limit number ] [-CONsecutive_fails number ] [-SEq_merge_limit number ] Description Specifies for the ATPG[...]

  • Page 456

    FastScan and FlexTest Reference Manual, V8.6_4 2-428 Set Atpg Compression Command Dictionary • -Limit number An optional switch and integer pair that specifies the maximum number of faults that the test pattern generator will unsuccessfully attempt to merge with the target fault pattern. The -Limit switch is used by the combinational compression [...]

  • Page 457

    Command Dictionary Set Atpg Compression FastScan and FlexTest Reference Manual, V8.6_4 2-429 • -SEq_merge_limit number An optional switch and integer pair that specifies the maximum number of faults that the test pattern generator will successfully attempt to merge with the target fault pattern. The -Seq_merge_limit switch is used by the sequenti[...]

  • Page 458

    FastScan and FlexTest Reference Manual, V8.6_4 2-430 Set Atpg Limits Command Dictionary Set Atpg Limits Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET ATpg Limits [-Cpu_seconds {OFf | integer }] [-Test_coverage {OFf | real }] [-Pattern_count {OFf | integer }] For FlexTest SET ATpg Limits [-CPu_seconds {OFf | integer [...]

  • Page 459

    Command Dictionary Set Atpg Limits FastScan and FlexTest Reference Manual, V8.6_4 2-431 integer — A positive integer that specifies the maximum number of CPU seconds that the tool can consume during an ATPG process. When the tool reaches the maximum, it terminates the ATPG process. • -Test_coverage OFf | real An optional switch and argument pai[...]

  • Page 460

    FastScan and FlexTest Reference Manual, V8.6_4 2-432 Set Atpg Limits Command Dictionary cycles that FlexTest can use during an ATPG process. FlexTest counts the test cycles in both the scan operations as well as in the fault simulation to determine the number of test cycles it uses. When FlexTest reaches the maximum, it terminates the ATPG process.[...]

  • Page 461

    Command Dictionary Set Atpg Window FastScan and FlexTest Reference Manual, V8.6_4 2-433 Set Atpg Window Tools Supported: FlexTest Scope: All modes Usage SET Atpg Window integer Description Allows you to specify the size of the FlexTest simulation window. Arguments • integer A required integer value that specifies the number of cycles to be contai[...]

  • Page 462

    FastScan and FlexTest Reference Manual, V8.6_4 2-434 Set AU Analysis Command Dictionary Set AU Analysis Tools Supported: FastScan Scope: All modes Usage SET AU Analysis { ON | OFf } Description Specifies whether the ATPG uses the ATPG untestable information to place ATPG untestable faults directly in the AU fault class. The Set AU Analysis command [...]

  • Page 463

    Command Dictionary Set AU Analysis FastScan and FlexTest Reference Manual, V8.6_4 2-435 Examples The following example specifies not to use ATPG untestable information during the ATPG run: set system mode atpg set au analysis off add faults -all run Related Commands Compress Patterns Delete Atpg Constraints Load Faults[...]

  • Page 464

    FastScan and FlexTest Reference Manual, V8.6_4 2-436 Set Bist Initialization Command Dictionary Set Bist Initialization Tools Supported: FastScan Scope: All modes Usage SET BIst Initialization { 0 | 1 } Description Specifies the scan chain input value which indicates the states of the scan cells before FastScan applies Built-In Self Test (BIST) pat[...]

  • Page 465

    Command Dictionary Set Bist Initialization FastScan and FlexTest Reference Manual, V8.6_4 2-437 • 1 A literal that sets the scan chain input line to a 1, which in turn initializes the scan cells to a 1. Examples The following example specifies an LFSR and MISR connection and places a value on the scan cells resulting from loading a one state at t[...]

  • Page 466

    FastScan and FlexTest Reference Manual, V8.6_4 2-438 Set Bus Handling Command Dictionary Set Bus Handling Tools Supported: FastScan and FlexTest Scope: Atpg, Good, and Fault Modes Usage SET BUs Handling { Pass | Fail | Abort } { bus_gate_id# ... | -All } Description Specifies the bus contention results that you desire for the identified buses. The [...]

  • Page 467

    Command Dictionary Set Bus Handling FastScan and FlexTest Reference Manual, V8.6_4 2-439 • Fail A literal that specifies for the tool to treat the buses that you identify as if they had failed the bus contention evaluations. This causes the tool to reject patterns that it would otherwise retain due to passing the contention check. • Abort A lit[...]

  • Page 468

    FastScan and FlexTest Reference Manual, V8.6_4 2-440 Set Bus Simulation Command Dictionary Set Bus Simulation Tools Supported: FastScan Scope: Atpg, Good, and Fault Modes Usage SET BUs Simulation [Local | Global] Description Specifies whether the tool uses global or local bus simulation analysis. This command simplifies typical back end verificatio[...]

  • Page 469

    Command Dictionary Set Capture Clock FastScan and FlexTest Reference Manual, V8.6_4 2-441 Set Capture Clock Tools Supported: FastScan and FlexTest Scope: All modes Usage SET CApture Clock { primary_input_pin | clock_procedure_name } [-Atpg] Description Specifies the capture clock name for random pattern simulation. The Set Capture Clock command per[...]

  • Page 470

    FastScan and FlexTest Reference Manual, V8.6_4 2-442 Set Capture Clock Command Dictionary In FlexTest, the capture limit must be set at one, the capture clock must have an R0 or R1 pin constraint, and all other clocks must have a C0, C1, CR0, or CR1 pin constraint. The period of all pin constraints must be 1. If you define a capture clock to pass t[...]

  • Page 471

    Command Dictionary Set Capture Clock FastScan and FlexTest Reference Manual, V8.6_4 2-443 Related Commands Add Clocks Delete Clocks Report Clocks Report Environment[...]

  • Page 472

    FastScan and FlexTest Reference Manual, V8.6_4 2-444 Set Capture Handling Command Dictionary Set Capture Handling Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Mo[...]

  • Page 473

    Command Dictionary Set Capture Handling FastScan and FlexTest Reference Manual, V8.6_4 2-445 Old — A literal that specifies for FastScan to determine the output value of a level sensitive source state element by using the data that existed prior to the current clock cycle. FastScan then passes this value to the state element’s sink state elemen[...]

  • Page 474

    FastScan and FlexTest Reference Manual, V8.6_4 2-446 Set Capture Handling Command Dictionary values. If the values differ, FastScan passes an unknown (X) value to the state element’s sink state elements. • -Atpg An optional switch that applies the data capture handling both during the ATPG process and for rules checking. This is the default. ?[...]

  • Page 475

    Command Dictionary Set Capture Limit FastScan and FlexTest Reference Manual, V8.6_4 2-447 Set Capture Limit Tools Supported: FlexTest Scope: All modes Usage SET CApture Limit OFf | { test_cycle_limit [- Maximum | -Exact]} Description Specifies the number of test cycles between two consecutive scan operations. The Set Capture Limit command allows yo[...]

  • Page 476

    FastScan and FlexTest Reference Manual, V8.6_4 2-448 Set Capture Limit Command Dictionary -Exact — An optional switch that specifies for FlexTest to interpret the test_cycle_limit argument value as the exact number of capture test cycles. FlexTest must always use the specified number of capture test cycles between two consecutive scan operations.[...]

  • Page 477

    Command Dictionary Set Checkpoint FastScan and FlexTest Reference Manual, V8.6_4 2-449 Set Checkpoint Tools Supported: FastScan and FlexTest Scope: All modes Prerequisites: You must use the Setup Checkpoint command prior to this command. Usage SET CHeckpoint OFf | ON Description Specifies whether the tool uses the checkpoint functionality. The Set [...]

  • Page 478

    FastScan and FlexTest Reference Manual, V8.6_4 2-450 Set Checkpoint Command Dictionary Examples The following example turns on the checkpoint functionality after setting up the checkpoint file and time period: set system mode atpg setup checkpoint check 5 -sequence set checkpoint on Related Commands Setup Checkpoint[...]

  • Page 479

    Command Dictionary Set Clock Restriction FastScan and FlexTest Reference Manual, V8.6_4 2-451 Set Clock Restriction Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET CLock Restriction ON | OFf | Clock_po For FlexTest SET CLock Restriction ON | OFf Description Specifies whether the ATPG can create patterns with more than[...]

  • Page 480

    FastScan and FlexTest Reference Manual, V8.6_4 2-452 Set Clock Restriction Command Dictionary Clock_po option. You can accomplish this by setting the clock restriction to Clock_po and then re-running the ATPG. During the bus contention prevention analysis portion of the ATPG, FastScan turns off any clock pins that the ATPG does not require for faul[...]

  • Page 481

    Command Dictionary Set Clock Restriction FastScan and FlexTest Reference Manual, V8.6_4 2-453 Examples The following example specifies that the ATPG cannot create test patterns with multiple clock lines active: add scan groups g1 proc.g1 add scan chains c1 g1 si so add clocks 1 clk1 clk2 set clock restriction on set system mode atpg add faults -all[...]

  • Page 482

    FastScan and FlexTest Reference Manual, V8.6_4 2-454 Set Clock_off Simulation Command Dictionary Set Clock_off Simulation Tools: FastScan Scope: All modes Usage SET CLock_off Simulation ON | OFf Description Enables or disables simulation with the clocks off. The Set Clock_off Simulation command enables or disables the simulation where all clock pri[...]

  • Page 483

    Command Dictionary Set Clockpo Patterns FastScan and FlexTest Reference Manual, V8.6_4 2-455 Set Clockpo Patterns Tools Supported: FastScan Scope: Setup mode Usage SET CLockpo Patterns ON | OFf Description Specifies whether ATPG can perform pattern creation for primary outputs that connect to clocks. The Set Clockpo Patterns command specifies wheth[...]

  • Page 484

    FastScan and FlexTest Reference Manual, V8.6_4 2-456 Set Contention Check Command Dictionary Set Contention Check Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET COntention Check OFf | {{ ON | Capture_clock } [-Warning | -Error] [-Bus | -Port | -ALl] [-BIdi_retain | -BIDI_Mask] [-ATpg] [-NOVerbose | -Verbose | -VVerbo[...]

  • Page 485

    Command Dictionary Set Contention Check FastScan and FlexTest Reference Manual, V8.6_4 2-457 FlexTest contention checking is performed for every timeframe so captured data effects are propagated. This is the invocation default behavior. • Capture_clock (FastScan Only) A literal that specifies for the tool to perform contention checking both with [...]

  • Page 486

    FastScan and FlexTest Reference Manual, V8.6_4 2-458 Set Contention Check Command Dictionary damage can occur. This switch allows the tool to identify these conditions and notify you of their existence. The tool identifies buses which have circuitry that prevent bus contention and does not check for bus contention problems. This eliminates false bu[...]

  • Page 487

    Command Dictionary Set Contention Check FastScan and FlexTest Reference Manual, V8.6_4 2-459 indicating the number of these aborted faults for each simulation pass. No attempt is made to change the original pattern. The -Atpg option results in additional effort by the test pattern generator and you should use it only when necessary. • -Verbose (F[...]

  • Page 488

    FastScan and FlexTest Reference Manual, V8.6_4 2-460 Set Contention Check Command Dictionary set contention check on -port -error set system mode atpg add faults -all run Related Commandstimeframe Report Gates Set Bus Handling Set Gate Report[...]

  • Page 489

    Command Dictionary Set Control Threshold FastScan and FlexTest Reference Manual, V8.6_4 2-461 Set Control Threshold Tools Supported: FastScan Scope: All modes Usage SET COntrol Threshold integer Description Specifies the controllability value for random pattern simulation. The Set Control Threshold command specifies the minimum number of times a ga[...]

  • Page 490

    FastScan and FlexTest Reference Manual, V8.6_4 2-462 Set Decision Order Command Dictionary Set Decision Order Tools Supported: FastScan Scope: All modes Usage SET DEcision Order -NORandom | -Random Description Specifies how the ATPG determines and uses observation points. The Set Decision Order command specifies whether ATPG makes random choices wh[...]

  • Page 491

    Command Dictionary Set Decision Order FastScan and FlexTest Reference Manual, V8.6_4 2-463 Examples The following example identifies Atpg_untestable (AU) and Redundant (RE) faults, maximizes the random detection, and performs pattern compression: set system mode atpg add faults -all reset state set decision order -random set atpg compression on -ve[...]

  • Page 492

    FastScan and FlexTest Reference Manual, V8.6_4 2-464 Set Dofile Abort Command Dictionary Set Dofile Abort Tools Supported: FastScan and FlexTest Scope: All modes Usage SET DOfile Abort ON | OFf Description Lets you specify whether the tool aborts or continues dofile execution if an error condition is detected. By default, if an error occurs during [...]

  • Page 493

    Command Dictionary Set Drc Handling FastScan and FlexTest Reference Manual, V8.6_4 2-465 Set Drc Handling Tools Supported: FastScan and FlexTest Scope: All modes Usage SET DRc Handling drc_id [Error | Warning | NOTe | Ignore] [ NOVerbose | Verbose] [ NOAtpg_analysis | Atpg_analysis] [-Mode A clk_name ] [-Interval number ] [ATPGC] [-Mode {Sequential[...]

  • Page 494

    FastScan and FlexTest Reference Manual, V8.6_4 2-466 Set Drc Handling Command Dictionary Arguments • drc_id A required non-repeatable literal that specifies the identification of the exact design rule violations whose message handling you want to change. The design rule violations and their identification literals are divided into the following f[...]

  • Page 495

    Command Dictionary Set Drc Handling FastScan and FlexTest Reference Manual, V8.6_4 2-467 The following lists the Clock rules violation IDs. For a complete description of these violations refer to the “ Clock Rules ” section of the Design-for-Test: Common Resources Manual . C1 — The netlist contains the unstable sequential element in addition [...]

  • Page 496

    FastScan and FlexTest Reference Manual, V8.6_4 2-468 Set Drc Handling Command Dictionary D1 — The netlist contains all the gates in the backtrace cone of the clock inputs of the disturbed scan cell. The pin data shows the pattern values the tool simulated when it encountered the error. D2 — The netlist contains all the gates in the backtrace co[...]

  • Page 497

    Command Dictionary Set Drc Handling FastScan and FlexTest Reference Manual, V8.6_4 2-469 The following lists the Extra rules violation IDs. For a complete description of these violations refer to the “ Extra Rules ” section of the Design-for-Test: Common Resources Manual . E2 — There must be no inversion between adjacent scan cells, the scan [...]

  • Page 498

    FastScan and FlexTest Reference Manual, V8.6_4 2-470 Set Drc Handling Command Dictionary T2 — The netlist contains the blocked gate. The pin data shows the values the tool simulates for all time periods of the shift procedure. T3 — The netlist contains all the gates in the backtrace cone of the blocked gate. The pin data shows the values the to[...]

  • Page 499

    Command Dictionary Set Drc Handling FastScan and FlexTest Reference Manual, V8.6_4 2-471 T22 —If the rules checker traces a scan cell during the application of an independent shift, it must also trace that cell during the application of its associated general shift. T23 —The chain length calculated for an independent shift must be the same as t[...]

  • Page 500

    FastScan and FlexTest Reference Manual, V8.6_4 2-472 Set Drc Handling Command Dictionary If you do not specify the Error, Warning, Note, or Ignore option, then the handling is either set to the previous handling or set to the Design Rules Checker default. • NOVerbose An optional literal that specifies for the tool to display the occurrence messag[...]

  • Page 501

    Command Dictionary Set Drc Handling FastScan and FlexTest Reference Manual, V8.6_4 2-473 For more information on using the -Mode option, refer to “ Screening Out False C3 and C4 Violations ” in the Design-for-Test: Common Resources Manual . • -Interval number An optional switch and integer pair that you can only use with C3 and C4 clock viola[...]

  • Page 502

    FastScan and FlexTest Reference Manual, V8.6_4 2-474 Set Drc Handling Command Dictionary Examples The following example specifies rule checking E4 to be an error: add scan groups group1 scanfile add scan chains chain1 group1 indata2 outdata4 add clocks 1 clock1 add clocks 0 clock2 set drc handling e4 error set system mode atpg Related Commands Set [...]

  • Page 503

    Command Dictionary Set Driver Restriction FastScan and FlexTest Reference Manual, V8.6_4 2-475 Set Driver Restriction Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You can only use this command before the tool begins generating test patterns. Also, the Set Contention Checking command must be issued to turn contention check[...]

  • Page 504

    FastScan and FlexTest Reference Manual, V8.6_4 2-476 Set Driver Restriction Command Dictionary • ON A literal that specifies for the tool to restrict buses to only having one driver on at a time and gates to only having one active port; the tool flags multiple active drivers or ports as contention problems. • Tg (FlexTest Only) A literal that r[...]

  • Page 505

    Command Dictionary Set Fails Report FastScan and FlexTest Reference Manual, V8.6_4 2-477 Set Fails Report Tools Supported: FastScan and FlexTest Scope: All modes Usage SET FAils Report OFf | ON Description Specifies whether the design rules checker displays clock rule failures. The Set Fails Report command displays all clock rule failures of the de[...]

  • Page 506

    FastScan and FlexTest Reference Manual, V8.6_4 2-478 Set Fault Mode Command Dictionary Set Fault Mode Tools Supported: FastScan and FlexTest Scope: All modes Usage SET FAult Mode Uncollapsed | Collapsed Description Specifies whether the fault mode is collapsed or uncollapsed. The Set Fault Mode command specifies whether the tool uses collapsed or u[...]

  • Page 507

    Command Dictionary Set Fault Mode FastScan and FlexTest Reference Manual, V8.6_4 2-479 The following shows an example when reporting uncollapsed tied faults as compared to reporting collapsed tied faults: Uncollapsed: Collapsed: 0 TI /I_140/I 0 TI /I_140/I 1 TI /II_140/O 1 TI /II_140/O 1 EQ /II_140/I Related Commands Add Faults Delete Faults Load F[...]

  • Page 508

    FastScan and FlexTest Reference Manual, V8.6_4 2-480 Set Fault Sampling Command Dictionary Set Fault Sampling Tools Supported: FlexTest Scope: All modes Usage SET FAult Sampling percentage [-Seed integer ] Description Specifies the fault sampling percentage. The Set Fault Sampling command specifies the fault sampling percentage that FlexTest uses f[...]

  • Page 509

    Command Dictionary Set Fault Sampling FastScan and FlexTest Reference Manual, V8.6_4 2-481 set system mode atpg add faults -all set fault sampling 50 run Related Commands Add Faults Load Faults Report Faults Set Fault Mode Set Fault Type Write Faults[...]

  • Page 510

    FastScan and FlexTest Reference Manual, V8.6_4 2-482 Set Fault Type Command Dictionary Set Fault Type Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET FAult Type Stuck | Iddq | TOggle | TRansition | Path_delay For FlexTest SET FAult Type Stuck | Iddq | TOggle | TRansition Description Specifies the fault model for which[...]

  • Page 511

    Command Dictionary Set Fault Type FastScan and FlexTest Reference Manual, V8.6_4 2-483 • TOggle A literal that specifies for the tool to develop or select ATPG patterns for the toggle fault model. • TRansition A literal that specifies for the tool to develop or select ATPG patterns for the transition fault model. • Path_delay (FastScan Only) [...]

  • Page 512

    FastScan and FlexTest Reference Manual, V8.6_4 2-484 Set Flatten Handling Command Dictionary Set Flatten Handling Tools Supported: FastScan and FlexTest Scope: All modes Usage SET FLatten Handling rule_id [ Error | Warning | NOTe | Ignore] [Verbose | Noverbose] Description Specifies how the tool globally handles flattening violations. The Set Flatt[...]

  • Page 513

    Command Dictionary Set Flatten Handling FastScan and FlexTest Reference Manual, V8.6_4 2-485 FN4 — An instance net is not used. The default upon invocation is warning. FN5 — A multiple driven wired net. The default upon invocation is warning. FN6 — A bus net attribute cannot be used. The default upon invocation is warning. FN7 — Two connect[...]

  • Page 514

    FastScan and FlexTest Reference Manual, V8.6_4 2-486 Set Flatten Handling Command Dictionary FP11 — An input pin is used as in/out pin. The default upon invocation is ignore. FP12 — An output pin has no fan-out. The default upon invocation is ignore. FP13 — An input pin has a floating instance in the netlist module. This default upon invocati[...]

  • Page 515

    Command Dictionary Set Flatten Handling FastScan and FlexTest Reference Manual, V8.6_4 2-487 • Warning An optional literal that specifies for the tool to display the warning summary message indicating the number of times the rule was violated. If you specify the Verbose option also, the tool displays the occurrence message for each occurrence of [...]

  • Page 516

    FastScan and FlexTest Reference Manual, V8.6_4 2-488 Set Flatten Handling Command Dictionary • Verbose An optional literal that specifies for the tool to display the occurrence message for each occurrence of the rules violation. If you do not specify the Noverbose or Verbose option, then the tool uses either the handling from the last Set Flatten[...]

  • Page 517

    Command Dictionary Set Gate Level FastScan and FlexTest Reference Manual, V8.6_4 2-489 Set Gate Level Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Usage SET GAte Level Design | Primitive | Low_design DFTInsight Menu Path: Setup > Design Level > Design | Primitive Description Specifies the hierarchical level of gate rep[...]

  • Page 518

    FastScan and FlexTest Reference Manual, V8.6_4 2-490 Set Gate Level Command Dictionary • Low_design A literal that specifies to display gate information at the pseudo-hierarchical gate level. A pseudo-hierarchical gate is a cluster gate that contains primitive gates and is at the lowest hierarchy level in the design library. These gates only diff[...]

  • Page 519

    Command Dictionary Set Gate Report FastScan and FlexTest Reference Manual, V8.6_4 2-491 Set Gate Report Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Usage For FastScan SET GAte REport { Normal | Trace | Error_pattern | Fault_status | Bist_data | TIe_value | Constrain_value | Seq_depth_data | Clock_cone pin_name | { Drc_patte[...]

  • Page 520

    FastScan and FlexTest Reference Manual, V8.6_4 2-492 Set Gate Report Command Dictionary Arguments • Normal A literal that specifies for the Report Gates command to display only its standard information. This is the default mode upon invocation of the tool. • Race (FlexTest Only) A literal that specifies for the Report Gates command to display t[...]

  • Page 521

    Command Dictionary Set Gate Report FastScan and FlexTest Reference Manual, V8.6_4 2-493 The format of the fault status data is as following: <sa0-status:sa1-status> where sa0-status and sa1-status are one of the following: DS — Detected by simulation DI — Detected by implication PU — Possible detect untestable PT — Possible detect tes[...]

  • Page 522

    FastScan and FlexTest Reference Manual, V8.6_4 2-494 Set Gate Report Command Dictionary • Constrain_value A literal that specifies for the Report Gates command to display the simulated values that result from all natural tied gates, learned constant value non-scan cells, constrained pins, and constrained cells. The Report Gates command displays t[...]

  • Page 523

    Command Dictionary Set Gate Report FastScan and FlexTest Reference Manual, V8.6_4 2-495 which the tool identifies as capturing on the clock’s trailing edge will not propagate the clock effect cone. During the Setup system mode, this information is not available and the tool assumes all state elements capture with the leading edge of the selected [...]

  • Page 524

    FastScan and FlexTest Reference Manual, V8.6_4 2-496 Set Gate Report Command Dictionary SKew_load — A literal specifying use of the skew_load procedure. In the test procedure file, this procedure describes how to propagate the output value of the preceding scan cell into the master memory element of the current cell (without changing the slave), [...]

  • Page 525

    Command Dictionary Set Gate Report FastScan and FlexTest Reference Manual, V8.6_4 2-497 • CApture_pattern [n | All] (FastScan Only) A literal that specifies for the Report Gates command to display simulation values that result after the final capture clock pulse has been added. The option n is an integer in the range of 0 to 31 for all platforms [...]

  • Page 526

    FastScan and FlexTest Reference Manual, V8.6_4 2-498 Set Gate Report Command Dictionary • SImulation (FlexTest Only) A literal that specifies for the Report Gates command to display the current simulation value of the gate. • CONTrol (FlexTest Only) A literal that specifies for the Report Gates command to display the controllability value of th[...]

  • Page 527

    Command Dictionary Set Gate Report FastScan and FlexTest Reference Manual, V8.6_4 2-499 force clk 0 2; force tclk 1 3; force tclk 0 4; period 6; end; rep gate 32 // /I_15 (32) NOR // i0 I (XXXXX) 16-/I_16/out // i1 I (XXXXX) 17-/I_6/out // out O (XXXXX) 43-/S2 rep gate tclk // /TCLK (9) PI // TCLK O (00010) 40-/I_13/clk rep gate clk // /CLK (4) PI [...]

  • Page 528

    FastScan and FlexTest Reference Manual, V8.6_4 2-500 Set Hypertrophic Limit Command Dictionary Set Hypertrophic Limit Tools Supported: FlexTest Scope: All modes Usage SET HYpertrophic Limit Off | Default | To percentage Description Specifies the percentage of the original design’s sequential primitives that can differ from the good machine before[...]

  • Page 529

    Command Dictionary Set Hypertrophic Limit FastScan and FlexTest Reference Manual, V8.6_4 2-501 Examples The following example sets the hypertrophic fault limit at 10% of the total sequential primitives for the ATPG run: set system mode atpg add faults -all set hypertrophic limit to 10 run[...]

  • Page 530

    FastScan and FlexTest Reference Manual, V8.6_4 2-502 Set Iddq Checks Command Dictionary Set Iddq Checks Tools Supported: FastScan and FlexTest Scope: All modes Usage SET IDdq Checks [- NONe | -ALl | {-Bus | -WEakbus | -Int_float | -EXt_float | -Pull | -Clock | -WRite | -REad | -WIre | -WEAKHigh | -WEAKLow | -VOLTGain | -VOLTLoss}...] [- WArning | -[...]

  • Page 531

    Command Dictionary Set Iddq Checks FastScan and FlexTest Reference Manual, V8.6_4 2-503 • -ALl An optional switch that specifies to perform all checks. • -Bus An optional switch that specifies not to allow contention conditions on bus gates. • -WEakbus An optional switch that specifies not to allow contention conditions on weak- bus gates. ?[...]

  • Page 532

    FastScan and FlexTest Reference Manual, V8.6_4 2-504 Set Iddq Checks Command Dictionary For FlexTest, the pin constraints of all read control inputs can not be at an on state at the last timeframe of each test cycle. Otherwise, the tool cannot perform an IDDQ measurement. • -WIre An optional switch specifying that all inputs of a wire gate must b[...]

  • Page 533

    Command Dictionary Set Iddq Checks FastScan and FlexTest Reference Manual, V8.6_4 2-505 • -NOAtpg An optional switch that specifies not to justify IDDQ restrictions during test generation. This is the default. • -ATpg An optional switch that specifies to justify IDDQ restrictions during test generation. The ATPG does extra work to prevent any c[...]

  • Page 534

    FastScan and FlexTest Reference Manual, V8.6_4 2-506 Set Iddq Strobe Command Dictionary Set Iddq Strobe Tools Supported: FastScan and FlexTest Scope: All modes Prerequisites: You must be fault simulating, or selecting from, an external pattern source. Usage SET IDdq Strobe -Label | -All Description Specifies on which patterns (cycles) the tool will[...]

  • Page 535

    Command Dictionary Set Iddq Strobe FastScan and FlexTest Reference Manual, V8.6_4 2-507 For FastScan — A switch that restricts IDDQ detection to those patterns which have the IDDQ measure statement. For FastScan — A switch that restricts IDDQ measures to those test cycles which have the IDDQ measure statement. • -All For FastScan — A switch[...]

  • Page 536

    FastScan and FlexTest Reference Manual, V8.6_4 2-508 Set Instancename Visibility Command Dictionary Set Instancename Visibility Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances to see the effects of this command. Usage SET I[...]

  • Page 537

    Command Dictionary Set Instancename Visibility FastScan and FlexTest Reference Manual, V8.6_4 2-509 • -Full An optional literal that specifies that instance names should contain the full hierarchical pathname. This is the default upon invocation. • -Leaf leaf_levels An optional switch that specifies how many levels of a hierarchical name are di[...]

  • Page 538

    FastScan and FlexTest Reference Manual, V8.6_4 2-510 Set Instancename Visibility Command Dictionary 3. Specifying the following: set instancename visibility -l 2 Results in the following display: .../add1/u3 Related Commands Open Schematic Viewer[...]

  • Page 539

    Command Dictionary Set Instruction Atpg FastScan and FlexTest Reference Manual, V8.6_4 2-511 Set Instruction Atpg Tools Supported: FlexTest Scope: Atpg mode Usage SET INstruction Atpg OFf | { ON filename } Description Specifies whether FlexTest generates instruction-based test vectors using the random ATPG process. The Set Instruction Atpg command [...]

  • Page 540

    FastScan and FlexTest Reference Manual, V8.6_4 2-512 Set Instruction Atpg Command Dictionary • filename A string specifying the name of the ASCII file that describes all the input pins and the instruction set that you want the instruction-based test generation to use. For a detailed description of the instruction file, refer to “ Instruction Fi[...]

  • Page 541

    Command Dictionary Set Internal Fault FastScan and FlexTest Reference Manual, V8.6_4 2-513 Set Internal Fault Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET INternal Fault ON | OFf Description Specifies whether the tool allows faults within or only on the boundary of library models. The Set Internal Fault command specifies wheth[...]

  • Page 542

    FastScan and FlexTest Reference Manual, V8.6_4 2-514 Set Internal Name Command Dictionary Set Internal Name Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET INternal Name OFf | ON Description Specifies whether to delete or keep pin names of library internal pins containing no-fault attributes. The Set Internal Name command specifi[...]

  • Page 543

    Command Dictionary Set Interrupt Handling FastScan and FlexTest Reference Manual, V8.6_4 2-515 Set Interrupt Handling Tools Supported: FlexTest Scope: All modes Usage SET INterrupt Handling [ OFf | ON] Description Specifies how FlexTest interprets a Control-C interrupt. The Set Interrupt Handling command controls the tool’s ability to place a com[...]

  • Page 544

    FastScan and FlexTest Reference Manual, V8.6_4 2-516 Set Interrupt Handling Command Dictionary Arguments • OFf An optional literal that disables suspend-state interrupt handling. This is the default. • ON An optional literal that enables suspend-state interrupt handling. Examples The following example enables suspend-state interrupt handling, b[...]

  • Page 545

    Command Dictionary Set IO Mask FastScan and FlexTest Reference Manual, V8.6_4 2-517 Set IO Mask Tools Supported: FastScan Scope: All modes Usage SET IO Mask [ OFf | ON] Description Modifies the behavior of IO pins so that their expected values will always be X during test cycles in which the primary input portion of the IO pin is being forced. Typi[...]

  • Page 546

    FastScan and FlexTest Reference Manual, V8.6_4 2-518 Set Learn Report Command Dictionary Set Learn Report Tools Supported: FastScan and FlexTest Scope: All modes Usage SET LEarn Report [ OFf | ON] Description Specifies whether the Report Gates command can display the learned behavior for a specific gate. The Set Learn Report command specifies wheth[...]

  • Page 547

    Command Dictionary Set Learn Report FastScan and FlexTest Reference Manual, V8.6_4 2-519 • ON An optional literal that enables access to the learned behavior information. Examples The following example enables access to the learned behavior and then accesses that information: set learn report on report gates 28 /MX3/OR1 (28) OR IO I 20-/MX3/AN2/O[...]

  • Page 548

    FastScan and FlexTest Reference Manual, V8.6_4 2-520 Set List File Command Dictionary Set List File Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET LIst File [ filename ] [-Replace] For FlexTest SET LIst File -Default | { filename [-Replace]} Description Specifies the name of the list file into which the tool places t[...]

  • Page 549

    Command Dictionary Set List File FastScan and FlexTest Reference Manual, V8.6_4 2-521 • -Replace An optional switch that replaces the contents of the file if the filename already exists. Examples The following example creates a file to store simulation values that are being reported: set system mode good add lists i_1006/o i_1007/o set list file [...]

  • Page 550

    FastScan and FlexTest Reference Manual, V8.6_4 2-522 Set Logfile Handling Command Dictionary Set Logfile Handling Tools Supported: FastScan and FlexTest Scope: All modes Usage SET LOgfile Handling [ filename ] [-Replace | -Append] Description Specifies for the tool to direct the transcript information to a file. The Set Logfile Handling command cau[...]

  • Page 551

    Command Dictionary Set Logfile Handling FastScan and FlexTest Reference Manual, V8.6_4 2-523 • -Replace An optional switch that forces the tool to overwrite the file if a file by that name already exists. • -Append An optional switch that causes the tool to begin writing the transcript at the end of the specified file. Examples The following ex[...]

  • Page 552

    FastScan and FlexTest Reference Manual, V8.6_4 2-524 Set Loop Handling Command Dictionary Set Loop Handling Tools Supported: FastScan and FlexTest Scope: Setup mode Usage For FastScan SET LOop Handling { Tiex [-Duplication {ON | OFf}]} | { Simulation [-Iterations n ]} For FlexTest SET LOop Handling {{ Tiex | Delay } [-Duplication {ON | OFf}]} | Sim[...]

  • Page 553

    Command Dictionary Set Loop Handling FastScan and FlexTest Reference Manual, V8.6_4 2-525 FlexTest uses a gate duplication technique to reduce the impact of the TIEX and DELAY gates that it places to break combinational loops. You can use this command to turn on this feature thereby allowing FlexTest to performing a further analysis to verify wheth[...]

  • Page 554

    FastScan and FlexTest Reference Manual, V8.6_4 2-526 Set Loop Handling Command Dictionary • -Iterations n (FastScan Only) An optional switch that allows you to specify the number of times each loop will be iterated. The integer n must be greater than or equal to 2. Upon invocation, the initial value is 3. Values greater than 3 are not recommended[...]

  • Page 555

    Command Dictionary Set Multiple Load FastScan and FlexTest Reference Manual, V8.6_4 2-527 Set Multiple Load Tools supported: Fastscan Scope: All modes Usage SET MUltiple Load ON | OFf Description Specifies how the tool handles multiple scan loads. The Set Multiple Load command specifies how the tool handles multiple scan loads. It supports patterns[...]

  • Page 556

    FastScan and FlexTest Reference Manual, V8.6_4 2-528 Set Multiple Load Command Dictionary Arguments • ON Enables the support of multiple scan loads. When enabled, any cycle except the capture cycle of a clock sequential pattern can include a scan load. Each scan load is treated as a combinational event in exactly the manner that the single scan l[...]

  • Page 557

    Command Dictionary Set Net Dominance FastScan and FlexTest Reference Manual, V8.6_4 2-529 Set Net Dominance Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET NEt Dominance Wire | And | Or Description Specifies the fault effect of bus contention on tri-state nets. The Set Net Dominance command specifies the fault effect of bus conte[...]

  • Page 558

    FastScan and FlexTest Reference Manual, V8.6_4 2-530 Set Net Dominance Command Dictionary Arguments • Wire A literal that specifies for the tool to use unknown behavior for the fault effect of bus contention on tri-state nets. This is the default behavior upon invocation of the tool. • And A literal that specifies for the tool to use wired-AND [...]

  • Page 559

    Command Dictionary Set Net Resolution FastScan and FlexTest Reference Manual, V8.6_4 2-531 Set Net Resolution Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET NEt Resolution Wire | And | Or Description Specifies the behavior of multi-driver nets. The Set Net Resolution command specifies the behavior of non-tri-state multi- driver [...]

  • Page 560

    FastScan and FlexTest Reference Manual, V8.6_4 2-532 Set Net Resolution Command Dictionary Examples The following example specifies that the behavior of non-tri-state multi-driver nets is wired-AND during the ATPG run: set net resolution and set system mode atpg add faults -all run[...]

  • Page 561

    Command Dictionary Set Nonscan Model FastScan and FlexTest Reference Manual, V8.6_4 2-533 Set Nonscan Model Tools Supported: FlexTest Scope: Setup mode Usage SET NOnscan Model DRC | HOLD | INITX Description Specifies how FlexTest classifies the behavior of non-scan cells with the HOLD and INITX functionality during the operation of the scan chain. [...]

  • Page 562

    FastScan and FlexTest Reference Manual, V8.6_4 2-534 Set Nonscan Model Command Dictionary Arguments • DRC A literal that specifies for FlexTest to allow the Design Rules Checker to classify each non-scan cell based on the criteria listed in Table 2-9 . This is the default upon invocation of FlexTest. • HOLD A literal that specifies for FlexTest[...]

  • Page 563

    Command Dictionary Set Nonscan Model FastScan and FlexTest Reference Manual, V8.6_4 2-535 Examples The following example specifies for FlexTest to classify as HOLD all non-scan cells that qualify as an INITX to increase the test coverage: set nonscan model hold Related Commands Report Environment Write Environment[...]

  • Page 564

    FastScan and FlexTest Reference Manual, V8.6_4 2-536 Set Number Shifts Command Dictionary Set Number Shifts Tools Supported: FastScan Scope: All modes except Setup mode. Usage SET NUmber Shifts shift_number Description Sets the number of shifts for loading or unloading the scan chains. The number of shifts used for loading or unloading the scan cha[...]

  • Page 565

    Command Dictionary Set Observation Point FastScan and FlexTest Reference Manual, V8.6_4 2-537 Set Observation Point Tools Supported: FastScan Scope: All modes Usage SET OBservation Point Master | SLave | SHadow | Clockpo Description Specifies the observation point for random pattern fault simulation. The Set Observation Point command specifies whet[...]

  • Page 566

    FastScan and FlexTest Reference Manual, V8.6_4 2-538 Set Observation Point Command Dictionary Examples The following example specifies slave latches as the observation point for random pattern fault simulation: set system mode atpg set pattern source random set observation point slave add faults -all run Related Commands Set Capture Clock Set Patte[...]

  • Page 567

    Command Dictionary Set Observe Threshold FastScan and FlexTest Reference Manual, V8.6_4 2-539 Set Observe Threshold Tools Supported: FastScan Scope: All modes Usage SET OBserve Threshold integer Description Specifies the minimum number of observations necessary for the Analyze Observe command to consider a point adequately observed. The Set Observe[...]

  • Page 568

    FastScan and FlexTest Reference Manual, V8.6_4 2-540 Set Observe Threshold Command Dictionary Examples The following example sets the threshold number to determine the observability effects during random pattern simulation: set system mode fault set random patterns 612 set observe threshold 2 analyze observe report observe data Related Commands Ana[...]

  • Page 569

    Command Dictionary Set Output Comparison FastScan and FlexTest Reference Manual, V8.6_4 2-541 Set Output Comparison Tools Supported: FlexTest Scope: All modes Usage SET OUtput Comparison OFf | { ON [ -X_ignore [ None | Reference | Simulated | Both ]]} [-Io_ignore] Description Specifies whether FlexTest performs a good circuit simulation comparison.[...]

  • Page 570

    FastScan and FlexTest Reference Manual, V8.6_4 2-542 Set Output Comparison Command Dictionary • -X_ignore Simulated A switch that specifies FlexTest to ignore the comparison between x values in the simulated output. • -X_ignore Both A switch that specifies FlexTest to ignore the comparison of x values in both the simulated output and the refere[...]

  • Page 571

    Command Dictionary Set Output Mask FastScan and FlexTest Reference Manual, V8.6_4 2-543 Set Output Mask Tools Supported: FlexTest Scope: All modes Usage SET OUtput Mask OFf | ON Description Specifies how FlexTest handles an unknown (X) state in an external pattern set. The Set Output Mask command allows you to specify for FlexTest to ignore externa[...]

  • Page 572

    FastScan and FlexTest Reference Manual, V8.6_4 2-544 Set Output Mask Command Dictionary Related Commands Report Environment[...]

  • Page 573

    Command Dictionary Set Pathdelay Holdpi FastScan and FlexTest Reference Manual, V8.6_4 2-545 Set Pathdelay Holdpi Tools Supported: FastScan Scope: All modes Usage SET PAthdelay Holdpi OFf | ON Description Specifies whether the ATPG keeps non-clock primary inputs at a constant state after the first force. The Set Pathdelay Holdpi command allows you [...]

  • Page 574

    FastScan and FlexTest Reference Manual, V8.6_4 2-546 Set Pattern Source Command Dictionary Set Pattern Source Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan SET PAttern Source Internal | { Random | Bist | { External filename } [-Ascii] [- Binary] [-Store_patterns] [-NOPadding]} For FlexTest SET PAttern [...]

  • Page 575

    Command Dictionary Set Pattern Source FastScan and FlexTest Reference Manual, V8.6_4 2-547 In ATPG system mode, you can use this option to create patterns for random pattern testable faults. In Fault mode, you can use this option to evaluate the expected random pattern test coverage. • Bist (FastScan Only) A literal that specifies for the tool to[...]

  • Page 576

    FastScan and FlexTest Reference Manual, V8.6_4 2-548 Set Pattern Source Command Dictionary • -BInary (FastScan only) An optional switch specifying that the External test pattern set is in binary format. This is used when reading in a file saved with the SAVe PAtterns -BInary command. If neither -Binary or -Ascii options are specified, FastScan tr[...]

  • Page 577

    Command Dictionary Set Pattern Source FastScan and FlexTest Reference Manual, V8.6_4 2-549 Examples The following example performs fault simulation on an external pattern file: set system mode fault set pattern source external file1 add faults -all run Related Commands Save Patterns Set Abort Limit Set Capture Clock Set Observation Point Set Random[...]

  • Page 578

    FastScan and FlexTest Reference Manual, V8.6_4 2-550 Set Possible Credit Command Dictionary Set Possible Credit Tools Supported: FastScan and FlexTest Scope: All modes Usage SET POssible Credit percentage Description Specifies the percentage of credit that the tool assigns possible-detected faults. The Set Possible Credit command specifies the perc[...]

  • Page 579

    Command Dictionary Set Procedure Cycle_checking FastScan and FlexTest Reference Manual, V8.6_4 2-551 Set Procedure Cycle_checking Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET PRocedure Cycle_checking ON | OFf Description Enables test procedure cycle timing checking to be done immediately following scan chain tracing during des[...]

  • Page 580

    FastScan and FlexTest Reference Manual, V8.6_4 2-552 Set Pulse Generators Command Dictionary Set Pulse Generators Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET PUlse Generators ON | OFf Description Specifies whether the tool identifies pulse generator sink (PGS) gates. The Set Pulse Generators command specifies the identificati[...]

  • Page 581

    Command Dictionary Set Race Data FastScan and FlexTest Reference Manual, V8.6_4 2-553 Set Race Data Tools Supported: FlexTest Scope: Setup mode Usage SET RAce Data Old | New | X Description Specifies how FlexTest handles the output states of a flip-flop when the data input pin changes at the same time as the clock triggers. You can display the curr[...]

  • Page 582

    FastScan and FlexTest Reference Manual, V8.6_4 2-554 Set Rail Strength Command Dictionary Set Rail Strength Tools Supported: FlexTest Scope: All modes Usage SET RAil Strength ON | OFf Description Specifies FlexTest to set the strongest strength of a fault site to a bus driver. The Set Rail Strength command is useful in cases where the fault effect [...]

  • Page 583

    Command Dictionary Set Ram Initialization FastScan and FlexTest Reference Manual, V8.6_4 2-555 Set Ram Initialization Tools Supported: FastScan Scope: Setup mode Usage SET RAm Initialization Uninitialized | Random Description Specifies whether to initialize RAM and ROM gates that do not have initialization files. The Set Ram Initialization command [...]

  • Page 584

    FastScan and FlexTest Reference Manual, V8.6_4 2-556 Set Ram Initialization Command Dictionary Related Commands Read Modelfile Write Modelfile[...]

  • Page 585

    Command Dictionary Set Ram Test FastScan and FlexTest Reference Manual, V8.6_4 2-557 Set Ram Test Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage SET RAm Test Static_pass_thru | Read_only | Pass_thru Description Specifies the mode for RAM testing with random or Built-In Self Test (BIST) patterns. The Set Ram Test command specifie[...]

  • Page 586

    FastScan and FlexTest Reference Manual, V8.6_4 2-558 Set Ram Test Command Dictionary Examples The following exercises the RAM in pass-through mode for test generation: set system mode atpg set ram test pass_thru set pattern source random add faults -all run[...]

  • Page 587

    Command Dictionary Set Random Atpg FastScan and FlexTest Reference Manual, V8.6_4 2-559 Set Random Atpg Tools Supported: FastScan and FlexTest Scope: All modes Usage SET RAndom Atpg ON | OFf Description Specifies whether the tool uses random patterns during ATPG. The Set Random Atpg command controls whether the tool uses random test generation tech[...]

  • Page 588

    FastScan and FlexTest Reference Manual, V8.6_4 2-560 Set Random Clocks Command Dictionary Set Random Clocks Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage SET RAndom Clocks pin_name ... Description Specifies whether FastScan uses combinational or clock_sequential patterns for random pattern simulation. The Set Random Clocks comm[...]

  • Page 589

    Command Dictionary Set Random Clocks FastScan and FlexTest Reference Manual, V8.6_4 2-561 Examples The following example runs random pattern simulation with clock_sequential patterns: set simulation mode combination -depth 10 add scan groups g1 seqproc.g1 add scan chains c1 g1 si so add clocks 0 sk1 sk2 set system mode atpg set random clocks sk1 ad[...]

  • Page 590

    FastScan and FlexTest Reference Manual, V8.6_4 2-562 Set Random Patterns Command Dictionary Set Random Patterns Tools Supported: FastScan Scope: All modes Usage SET RAndom Patterns integer Description Specifies the number of random patterns FastScan simulates. The Set Random Patterns command specifies how many random patterns you want FastScan to s[...]

  • Page 591

    Command Dictionary Set Random Weights FastScan and FlexTest Reference Manual, V8.6_4 2-563 Set Random Weights Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage SET RAndom Weights percentage_of_1_states Description Specifies the default random pattern weighting factor for primary inputs. The Set Random Weights command specifies the [...]

  • Page 592

    FastScan and FlexTest Reference Manual, V8.6_4 2-564 Set Random Weights Command Dictionary Related Commands Add Random Weights Delete Random Weights Report Random Weights[...]

  • Page 593

    Command Dictionary Set Redundancy Identification FastScan and FlexTest Reference Manual, V8.6_4 2-565 Set Redundancy Identification Tools Supported: FlexTest Scope: All modes Usage SET REdundancy Identification ON | OFf Description Specifies whether FlexTest performs the checks for redundant logic when leaving the Setup mode. Use the Report Environ[...]

  • Page 594

    FastScan and FlexTest Reference Manual, V8.6_4 2-566 Set Schematic Display Command Dictionary Set Schematic Display Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You can use the -Compact, -NOCompact, -Hide, and -Dspace arguments only after the tool flattens the design to the simulation model, which happens when[...]

  • Page 595

    Command Dictionary Set Schematic Display FastScan and FlexTest Reference Manual, V8.6_4 2-567 • -Compact A switch that specifies for DFTInsight to display only those gates in the netlist that could have a logical impact on the output results. This is the default behavior upon invocation of DFTInsight. If gate compaction is enabled, DFTI still mai[...]

  • Page 596

    FastScan and FlexTest Reference Manual, V8.6_4 2-568 Set Schematic Display Command Dictionary UI — A literal that specifies to hide the unused input connections and to only display the unused output connections. All — A literal that specifies to hide both the unused input and output connections. None — A literal that specifies to show both th[...]

  • Page 597

    Command Dictionary Set Screen Display FastScan and FlexTest Reference Manual, V8.6_4 2-569 Set Screen Display Tools Supported: FastScan and FlexTest Scope: All modes Usage SET SCreen Display ON | OFf Description Specifies whether the tool writes the transcript to the session window. If you create a logfile with the Set Logfile Handling command, you[...]

  • Page 598

    FastScan and FlexTest Reference Manual, V8.6_4 2-570 Set Self Initialization Command Dictionary Set Self Initialization Tools Supported: FlexTest Scope: Setup, ATPG, and Fault modes Usage SET SElf Initialization ON | OFf Description Specifies whether FlexTest turns on/off self-initializing sequence behavior. In order to enable/disable generation or[...]

  • Page 599

    Command Dictionary Set Self Initialization FastScan and FlexTest Reference Manual, V8.6_4 2-571 Arguments • ON A literal that turns on self-initializing sequence behavior. • OFf A literal that turns off self-initializing sequence behavior. This is the default upon invocation of FlexTest. Examples set system mode atpg set self initialization on [...]

  • Page 600

    FastScan and FlexTest Reference Manual, V8.6_4 2-572 Set Sensitization Checking Command Dictionary Set Sensitization Checking Tools Supported: FastScan and FlexTest Scope: All modes Usage SET SEnsitization Checking OFf | ON Description Specifies whether DRC checking attempts to verify a suspected C3 or C4 rules violation. The Set Sensitization Chec[...]

  • Page 601

    Command Dictionary Set Sequential Learning FastScan and FlexTest Reference Manual, V8.6_4 2-573 Set Sequential Learning Tools Supported: FlexTest Scope: All modes Usage SET SEquential Learning OFf | ON Description Specifies whether the tool performs the learning analysis of sequential elements to make the ATPG process more efficient. The Set Sequen[...]

  • Page 602

    FastScan and FlexTest Reference Manual, V8.6_4 2-574 Set Sequential Learning Command Dictionary Related Commands Set Static Learning[...]

  • Page 603

    Command Dictionary Set Shadow Check FastScan and FlexTest Reference Manual, V8.6_4 2-575 Set Shadow Check Tools Supported: FastScan Scope: All modes. Usage SET SHadow Check OFf | ON Description Specifies whether FastScan will identify sequential elements as a “shadow” element during scan chain tracing. You can use the Set Shadow Check command t[...]

  • Page 604

    FastScan and FlexTest Reference Manual, V8.6_4 2-576 Set Simulation Mode Command Dictionary Set Simulation Mode Tools Supported: FastScan Scope: All modes Usage SET SImulation Mode Combinational | { Ram_sequential [-Random]} [-Depth number ] Description Specifies whether the ATPG simulation run uses combinational or sequential RAM test patterns. Th[...]

  • Page 605

    Command Dictionary Set Simulation Mode FastScan and FlexTest Reference Manual, V8.6_4 2-577 4. Only RAMs which are proven stable during the load/unload process will be allowed to hold values from one scan load to the next and are testable with ram_sequential patterns. 5. You cannot change the simulation mode from ram or clock sequential to combinat[...]

  • Page 606

    FastScan and FlexTest Reference Manual, V8.6_4 2-578 Set Simulation Mode Command Dictionary o The test pattern generator identified the fault as combinationally ATPG untestable during the combinational test. o The simulation mode is set to Ram_sequential. o The fault is connected to an address or write line of an eligible RAM The test pattern gener[...]

  • Page 607

    Command Dictionary Set Simulation Mode FastScan and FlexTest Reference Manual, V8.6_4 2-579 o Write Line Stuck-Off Faults on Multi-Write Port RAMs: Vector 1 - For the first data line of the first non-fault write port, write 0 into address 0. Vector 2 - For the first data line of the fault write port, write 1 into address 0. Vector 3 - From the firs[...]

  • Page 608

    FastScan and FlexTest Reference Manual, V8.6_4 2-580 Set Simulation Mode Command Dictionary Examples The following example places sequential RAM test patterns into the test pattern file: add write controls 0 write set system mode atpg add faults -all set simulation mode ram_sequential run save patterns ram.pat[...]

  • Page 609

    Command Dictionary Set Skewed Load FastScan and FlexTest Reference Manual, V8.6_4 2-581 Set Skewed Load Tools Supported: FastScan Scope: All modes Prerequisites: To use this command outside of the Setup mode you must include a skew_load procedure in the test procedure file; otherwise FastScan reports an error. Usage SET SKewed Load OFf | ON Descrip[...]

  • Page 610

    FastScan and FlexTest Reference Manual, V8.6_4 2-582 Set Skewed Load Command Dictionary Examples The following example specifies for patterns to include the skewed load: set skewed load on set system mode atpg add faults -all run[...]

  • Page 611

    Command Dictionary Set Split Capture_cycle FastScan and FlexTest Reference Manual, V8.6_4 2-583 Set Split Capture_cycle Tools: FastScan Scope: All modes Usage SET SPlit Capture_cycle ON | OFf Description Enables or disables the simulation of level sensitive and leading edge state elements updating as a result of applied clocks. The Set Split Captur[...]

  • Page 612

    FastScan and FlexTest Reference Manual, V8.6_4 2-584 Set Stability Check Command Dictionary Set Stability Check Tools Supported: FastScan Scope: All modes Usage SET STability Check ON | Shift_analysis | All_shift | OFf Description Specifies whether the tool checks the effect of applying the main shift procedure on non-scan cells. In order to perfor[...]

  • Page 613

    Command Dictionary Set Stability Check FastScan and FlexTest Reference Manual, V8.6_4 2-585 • OFf A literal that disables the tool from performing any checks on the effect of applying the main shift procedure on non-scan cells. Examples The following example shows how to enable the next level of detail checking which simulates the main shift proc[...]

  • Page 614

    FastScan and FlexTest Reference Manual, V8.6_4 2-586 Set Static Learning Command Dictionary Set Static Learning Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: This command is only useful before FastScan or FlexTest flattens the design to the simulation model, which happens when you first attempt to exit Setup mode or when y[...]

  • Page 615

    Command Dictionary Set Static Learning FastScan and FlexTest Reference Manual, V8.6_4 2-587 The optional switch and integer pair description is as follows: -Limit integer — A switch and integer pair that specifies a single gate simulation activity threshold. When FastScan or FlexTest reaches that threshold, it discontinues learning on gates in th[...]

  • Page 616

    FastScan and FlexTest Reference Manual, V8.6_4 2-588 Set Stg Extraction Command Dictionary Set Stg Extraction Tools Supported: FlexTest Scope: All modes Usage SET STg Extraction ON | OFf Description Specifies whether FlexTest performs state transition graph extraction. The Set Stg Extraction command controls whether FlexTest automatically performs [...]

  • Page 617

    Command Dictionary Set System Mode FastScan and FlexTest Reference Manual, V8.6_4 2-589 Set System Mode Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SET SYstem Mode { Setup | {{ Atpg | Fault | Good } [-Force]} For FlexTest SET SYstem Mode { Setup | {{ Atpg | Fault | Good | Drc } [-Force]} Description Specifies the syst[...]

  • Page 618

    FastScan and FlexTest Reference Manual, V8.6_4 2-590 Set System Mode Command Dictionary are available in a dofile. The dofile usually contains, among other items, the clock, scan group, and scan chain definitions. • Atpg A literal that specifies for the tool to enter the Test Pattern Generation system mode. In this mode, the Run command performs [...]

  • Page 619

    Command Dictionary Set System Mode FastScan and FlexTest Reference Manual, V8.6_4 2-591 Examples The following example changes the system mode so you can perform an ATPG run: add scan groups group1 scanfile add scan chains chain1 indata2 outdata4 set system mode atpg add faults -all run[...]

  • Page 620

    FastScan and FlexTest Reference Manual, V8.6_4 2-592 Set Test Cycle Command Dictionary Set Test Cycle Tools Supported: FlexTest Scope: Setup mode Usage SET TEst Cycle integer Description Specifies the number of timeframes per test cycle. The Set Test Cycle command specifies the number of timeframes per test cycle. Specifying a greater cycle width g[...]

  • Page 621

    Command Dictionary Set Trace Report FastScan and FlexTest Reference Manual, V8.6_4 2-593 Set Trace Report Tools Supported: FastScan and FlexTest Scope: All modes Usage SET TRace Report OFf | ON Description Specifies whether the tool displays gates in the scan chain trace. The Set Trace Report command controls whether the tool displays all of the ga[...]

  • Page 622

    FastScan and FlexTest Reference Manual, V8.6_4 2-594 Set Transition Holdpi Command Dictionary Set Transition Holdpi Tools Supported: FastScan Scope: All modes Usage SET TRansition Holdpi { ON | OFf } Description Specifies for FastScan to freeze all primary input values other than clocks and RAM controls during multiple cycles of pattern generation.[...]

  • Page 623

    Command Dictionary Set Unused Net FastScan and FlexTest Reference Manual, V8.6_4 2-595 Set Unused Net Tools Supported: FlexTest Scope: Setup mode Usage SET UNused Net { -Bus { ON | OFf } | -Wire { OFf | ON }}... Description Specifies whether FlexTest removes unused bus and wire nets in the design. To properly handle bus and wire contention, FlexTes[...]

  • Page 624

    FastScan and FlexTest Reference Manual, V8.6_4 2-596 Set Unused Net Command Dictionary Examples The following example specifies for FlexTest to change the default for unused wire nets, but to retain the invocation default for buses (on): set unused net -wire on Related Commands Report Environment Write Environment[...]

  • Page 625

    Command Dictionary Set Workspace Size FastScan and FlexTest Reference Manual, V8.6_4 2-597 Set Workspace Size Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Usage SET WOrkspace Size factor Description Increases the workspace so that FastScan can try to detect the undetected faults that were aborted due to workspace constraints. FastSc[...]

  • Page 626

    FastScan and FlexTest Reference Manual, V8.6_4 2-598 Set Xclock Handling Command Dictionary Set Xclock Handling Tools Supported: FastScan Scope: All modes Usage SET XCLock Handling Retain | X Description Specifies whether FastScan changes the sequential element model to always set the output of the element to be X when any of its clock inputs becom[...]

  • Page 627

    Command Dictionary Set Z Handling FastScan and FlexTest Reference Manual, V8.6_4 2-599 Set Z Handling Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SET Z Handling { Internal state } | { External state } Description Specifies how the tool handles high impedance signals for internal and external tri-state nets. The Set Z Handling com[...]

  • Page 628

    FastScan and FlexTest Reference Manual, V8.6_4 2-600 Set Z Handling Command Dictionary 0 — A literal that specifies to treat high impedance states as a 0 state that can be distinguished from a 1 state. 1 — A literal that specifies to treat high impedance states as a 1 state that can be distinguished from a 0 state. Z — A literal that specifie[...]

  • Page 629

    Command Dictionary Set Zhold Behavior FastScan and FlexTest Reference Manual, V8.6_4 2-601 Set Zhold Behavior Tools Supported: FastScan Scope: All modes Usage SET ZHold Behavior OFf | ON Description Specifies whether ZHOLD gates retain their state values. The ZHOLD gate allows FastScan to model tri-state nets so that they can retain the previous st[...]

  • Page 630

    FastScan and FlexTest Reference Manual, V8.6_4 2-602 Set Zhold Behavior Command Dictionary Examples The following example specifies that the ZHOLD gates are not allowed to retain their previous values: set zhold behavior off Related Commands Report Gates Set Learn Report[...]

  • Page 631

    Command Dictionary Set Zoom Factor FastScan and FlexTest Reference Manual, V8.6_4 2-603 Set Zoom Factor Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage SET ZOom Factor scale_factor DFTInsight Menu Path: Zoom Scale me[...]

  • Page 632

    FastScan and FlexTest Reference Manual, V8.6_4 2-604 Setup Checkpoint Command Dictionary Setup Checkpoint Tools Supported: FastScan and FlexTest Scope: All modes Usage For FastScan SETUp CHeckpoint filename [ period ] [-Replace] [-Overwrite | -Sequence] [- Ascii | -Binary] {[- Faultlist fault_file ] [-Keep_aborted]} For FlexTest SETUp CHeckpoint { [...]

  • Page 633

    Command Dictionary Setup Checkpoint FastScan and FlexTest Reference Manual, V8.6_4 2-605 • -Nopattern (FlexTest Only) An optional switch that specifies that the tool should not save the test set. This option is provided in cases where you only want to save the fault list and not the test pattern set (use this option in conjunction with the - Faul[...]

  • Page 634

    FastScan and FlexTest Reference Manual, V8.6_4 2-606 Setup Checkpoint Command Dictionary using the Load Faults command so that ATPG efforts do not have to be repeated when recovering from a checkpoint. Examples The following example stores the generated test patterns every two minutes in a file. After each two minute interval, the tool creates a ne[...]

  • Page 635

    Command Dictionary Setup LFSRs FastScan and FlexTest Reference Manual, V8.6_4 2-607 Setup LFSRs Tools Supported: FastScan Scope: All modes Usage SETup LFsrs { -Both | -Serial | -Parallel } { -Out | -In } Description Changes the shift_type and tap_type default setting for the Add LFSRs and Add LFSR Taps commands. The Setup LFSRs command controls the[...]

  • Page 636

    FastScan and FlexTest Reference Manual, V8.6_4 2-608 Setup LFSRs Command Dictionary The following lists the two tap_type switches of which you can only choose one: • -Out — A switch that places the exclusive-or taps outside the register path. This is the default upon invocation of FastScan. • -In — A switch that places the exclusive-or taps[...]

  • Page 637

    Command Dictionary Setup Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-609 Setup Pin Constraints Tools Supported: FastScan and FlexTest Scope: Setup mode Prerequisites: You must execute the Set Test Cycle command before adding pin constraints. Usage SETUp PIn Constraints constraint_format Description Changes the default cycle beh[...]

  • Page 638

    FastScan and FlexTest Reference Manual, V8.6_4 2-610 Setup Pin Constraints Command Dictionary CX — A literal that specifies application of the constant X (unknown) to the chosen primary input pins. If the value of the pin changes during the scan operation, the tool uses the non-return waveform. R0 period offset width — A literal and three integ[...]

  • Page 639

    Command Dictionary Setup Pin Constraints FastScan and FlexTest Reference Manual, V8.6_4 2-611 Related Commands Add Pin Constraints Delete Pin Constraints Report Pin Constraints Set Test Cycle[...]

  • Page 640

    FastScan and FlexTest Reference Manual, V8.6_4 2-612 Setup Pin Strobes Command Dictionary Setup Pin Strobes Tools Supported: FlexTest Scope: Setup mode Usage SETup PIn Strobes integer [-Period integer ] Description Changes the default strobe time for primary outputs without specified strobe times. The Setup Pin Strobes command changes the default s[...]

  • Page 641

    Command Dictionary Setup Tied Signals FastScan and FlexTest Reference Manual, V8.6_4 2-613 Setup Tied Signals Tools Supported: FastScan and FlexTest Scope: Setup mode Usage SETup TIed Signals X | 1 | 0 | Z Description Changes the default value for floating pins and floating nets which do not have assigned values. The Setup Tied Signals command spec[...]

  • Page 642

    FastScan and FlexTest Reference Manual, V8.6_4 2-614 Setup Tied Signals Command Dictionary Examples The following example ties floating net vcc to logic 1, ties the remaining unspecified floating nets and pins to logic 0, then performs an ATPG run: setup tied signals 0 add tied signals 1 vcc set system mode atpg add faults -all run Related Commands[...]

  • Page 643

    Command Dictionary Step FastScan and FlexTest Reference Manual, V8.6_4 2-615 Step Tools Supported: FlexTest Scope: Setup mode. Usage STEp [ integer ] [-Record [ integer ]] Description Single-steps through several cycles of a test set. The Reset State, Set Pattern Source and Set System Mode commands will reset the cycle count such that the next STEp[...]

  • Page 644

    FastScan and FlexTest Reference Manual, V8.6_4 2-616 System Command Dictionary System Tools Supported: FastScan and FlexTest Scope: All modes Usage SYStem os_command Description Passes the specified command to the operating system for execution. The System command executes one operating system command without exiting the currently running applicati[...]

  • Page 645

    Command Dictionary Undo Display FastScan and FlexTest Reference Manual, V8.6_4 2-617 Undo Display Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage UNDo DIsplay [ level ] DF[...]

  • Page 646

    FastScan and FlexTest Reference Manual, V8.6_4 2-618 Undo Display Command Dictionary The first example invokes DFTInsight, then displays four custom gate paths by specifying the first and last gate identification numbers for each path (51 and 65): open schematic viewer add display path 23 51 add display path 51 88 add display path 51 65 add display[...]

  • Page 647

    Command Dictionary Unmark FastScan and FlexTest Reference Manual, V8.6_4 2-619 Unmark Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage UNMark { gate_id# | pin_pathname | instance_name }... | -All | -Selected DFTInsigh[...]

  • Page 648

    FastScan and FlexTest Reference Manual, V8.6_4 2-620 Unmark Command Dictionary • -Select A switch that unmarks all the gates in the current selection list. Examples The following example unmarks two objects: unmark /i$142/q /i$141/q Related Commands Mark Open Schematic Viewer Select Object Unselect Object[...]

  • Page 649

    Command Dictionary Unselect Object FastScan and FlexTest Reference Manual, V8.6_4 2-621 Unselect Object Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage UNSelect OBject {{ gate_id# | pin_pathname | instance_name }... [...]

  • Page 650

    FastScan and FlexTest Reference Manual, V8.6_4 2-622 Unselect Object Command Dictionary Examples The following example unselects one object and then remove two more objects from the selection list: unselect object /i$144/q unselect object /i$142/q /i$141/q Now all three objects are unselected. Related Commands Mark Open Schematic Viewer Select Obje[...]

  • Page 651

    Command Dictionary Update Implication Detections FastScan and FlexTest Reference Manual, V8.6_4 2-623 Update Implication Detections Tools Supported: FastScan and FlexTest Scope: Atpg and Fault modes Prerequisites: You can use this command when there is an active fault list and you are using the stuck-at fault model. Usage UPDate IMplication Detecti[...]

  • Page 652

    FastScan and FlexTest Reference Manual, V8.6_4 2-624 Update Implication Detections Command Dictionary Examples The following example causes the tool to perform an expanded analysis on faults that the tool can detect by implication: set system mode atpg ... add faults -all run update implication detections // 12 faults were identified as detected by[...]

  • Page 653

    Command Dictionary View FastScan and FlexTest Reference Manual, V8.6_4 2-625 View Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage VIEw { gate_id# | pin_pathname | instance_name }... | -Selected | -Marked | -All DFTIn[...]

  • Page 654

    FastScan and FlexTest Reference Manual, V8.6_4 2-626 View Command Dictionary • -Marked A switch that displays all the gates marked using the Mark command. • -All A switch that displays all the gates in the display list. Related Commands Mark Open Schematic Viewer Select Object View Area Zoom In Zoom Out[...]

  • Page 655

    Command Dictionary View Area FastScan and FlexTest Reference Manual, V8.6_4 2-627 View Area Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage VIEw ARea x1,y1 x2 , y2 DFTInsight Menu Path: Display > View > Area De[...]

  • Page 656

    FastScan and FlexTest Reference Manual, V8.6_4 2-628 View Area Command Dictionary • y1 A required integer specifying one y-coordinate of the rectangular area that you want to view. The tool pairs this y-coordinate with the x1 argument to define one corner of the rectangle. • x2 A required integer specifying the x-coordinate of the opposite corn[...]

  • Page 657

    Command Dictionary Write Core Memory FastScan and FlexTest Reference Manual, V8.6_4 2-629 Write Core Memory Tools Supported: FlexTest Scope: All modes Usage WRIte COre Memory filename [-Replace] Description Writes to a file the amount of memory that FlexTest requires to avoid paging during the ATPG and simulation processes. The Write Core Memory co[...]

  • Page 658

    FastScan and FlexTest Reference Manual, V8.6_4 2-630 Write Core Memory Command Dictionary The following file listing shows an example output of the Write Core Memory command: Peak Current Memory for flatten design : 0.127M 0.125M Memory for fault list : 0.062M 0.062M Memory for test generation: 0.127M 0.125M Memory for simulation : 0.004M 0.004M Me[...]

  • Page 659

    Command Dictionary Write Environment FastScan and FlexTest Reference Manual, V8.6_4 2-631 Write Environment Tools Supported: FlexTest Scope: All modes Usage WRIte ENvironment filename [-Replace] Description Writes the current environment settings to the file that you specify. The Write Environment command outputs the same information as the Report [...]

  • Page 660

    FastScan and FlexTest Reference Manual, V8.6_4 2-632 Write Environment Command Dictionary The following listing shows the contents of an example Write Environment command: Abort Limit = (backtrack=30, cycle=300, time=300 seconds) ATPG Limit = (cpu_seconds=off, cycle_count=off, test_coverage=off) Capture Clock (ATPG) = none Capture Limit = off Check[...]

  • Page 661

    Command Dictionary Write Environment FastScan and FlexTest Reference Manual, V8.6_4 2-633 State Learning = on System Mode = setup Test Cycle Width = 1 Tied Signal = x Trace Report = off Unused Net = (bus=on wire=off) Z Handling = (external=x, internal=x) Related Commands All SET commands Report Environment[...]

  • Page 662

    FastScan and FlexTest Reference Manual, V8.6_4 2-634 Write Failures Command Dictionary Write Failures Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: You must specify the current pattern source with the Set Pattern Source command. The pattern source cannot be random. Usage WRIte FAIlures failure_filename [-Replace] [{ pi[...]

  • Page 663

    Command Dictionary Write Failures FastScan and FlexTest Reference Manual, V8.6_4 2-635 o The name of the scan chain where the failing scan cell resides. o The position in the scan chain of the failing scan cell. This position is 0 based, where position 0 is the scan cell closest to the scan-out pin. This command is used primarily for diagnostics. A[...]

  • Page 664

    FastScan and FlexTest Reference Manual, V8.6_4 2-636 Write Failures Command Dictionary • -Max integer An optional switch and integer pair specifying the maximum number of failing patterns that you want to occur on the specified fault before the command stops the simulation. The default is: all failing patterns. To use this option you must also sp[...]

  • Page 665

    Command Dictionary Write Failures FastScan and FlexTest Reference Manual, V8.6_4 2-637 Related Commands Diagnose Failures Report Failures[...]

  • Page 666

    FastScan and FlexTest Reference Manual, V8.6_4 2-638 Write Faults Command Dictionary Write Faults Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage For FastScan Path Delay Faults Usage: WRIte FAults filename [-Replace] [- All | object_pathname ...] [-Class class_type ] [-Keep_aborted] [-Both | -Rise | -Fall] Stuck/Togg[...]

  • Page 667

    Command Dictionary Write Faults FastScan and FlexTest Reference Manual, V8.6_4 2-639 • fault code - A code name indicating the lowest level fault class assigned to the fault. • fault site - The pin pathname of the fault site. You can use the -Hierarchy option to write a hierarchical summary of the selected faults. The summary identifies the num[...]

  • Page 668

    FastScan and FlexTest Reference Manual, V8.6_4 2-640 Write Faults Command Dictionary 0 — A literal that writes only the “stuck-at-0” faults. 1 — A literal that writes only the “stuck-at-1” faults. • -All An optional switch that writes all faults on all model, netlist primitive, and top module pins to the file. This is the default. •[...]

  • Page 669

    Command Dictionary Write Faults FastScan and FlexTest Reference Manual, V8.6_4 2-641 • -Both | -Rise | -Fall (FastScan only) An optional switch that specifies which faults to write for each path already added via the Add Paths command. These switches are used for path delay faults only. - Both - An optional switch the specifies to write both the [...]

  • Page 670

    FastScan and FlexTest Reference Manual, V8.6_4 2-642 Write Initial States Command Dictionary Write Initial States Tools Supported: FlexTest Scope: All modes Usage WRIte INitial States filename [-Replace] [- All | instance_name ...] Description Writes the initial state settings of design instances into the file that you specify. The Write Initial St[...]

  • Page 671

    Command Dictionary Write Initial States FastScan and FlexTest Reference Manual, V8.6_4 2-643 Examples The following example assumes you are not in Setup mode and writes all the current initial settings: add initial states 0 /amm/g30/ff0 set system mode atpg write initial states /user/design/initialstate_file -all Related Commands Add Initial States[...]

  • Page 672

    FastScan and FlexTest Reference Manual, V8.6_4 2-644 Write Library_verification Setup Command Dictionary Write Library_verification Setup Tools Supported: FlexTest Scope: All modes Usage WRIte LIbrary_verification Setup basename [-Replace] Description Generates ATPG library verification setup files. The Write Library_verification Setup command allo[...]

  • Page 673

    Command Dictionary Write Library_verification Setup FastScan and FlexTest Reference Manual, V8.6_4 2-645 Examples The following example lists the contents of an example basename .flexdo file. set test cycle 3 //defines the waveforms of all clocks and ram/rom read/write control pins; add pin constraint clk sr0 1 1 1 //clock clk has offstate 0 add pi[...]

  • Page 674

    FastScan and FlexTest Reference Manual, V8.6_4 2-646 Write Loops Command Dictionary Write Loops Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage WRIte LOops filename [-Replace] Description Writes a list of all the current loops to a file. The Write Loops command writes all feedback loops in the circuit to a file. For [...]

  • Page 675

    Command Dictionary Write Modelfile FastScan and FlexTest Reference Manual, V8.6_4 2-647 Write Modelfile Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes Usage WRIte MOdelfile filename RAM/ROM_instance_name [-Replace] Description Writes all internal states for a RAM or ROM gate into the file that you specify. The Write Model[...]

  • Page 676

    FastScan and FlexTest Reference Manual, V8.6_4 2-648 Write Modelfile Command Dictionary Examples The following example writes all the internal states of a RAM gate into a file for review: add write controls 0 w1 set system mode atpg add faults -all run write modelfile model.ram /p1.ram Related Commands Read Modelfile Set Ram Initialization (FS)[...]

  • Page 677

    Command Dictionary Write Netlist FastScan and FlexTest Reference Manual, V8.6_4 2-649 Write Netlist Tools Supported: FastScan and FlexTest Scope: Setup mode Usage WRIte NEtlist filename [-Edif | -Tdl | -Verilog | -VHdl | -Genie | -Ndl | -Model] [-Replace] Description Writes the modified or new format netlist to the specified file. The Write Netlist[...]

  • Page 678

    FastScan and FlexTest Reference Manual, V8.6_4 2-650 Write Netlist Command Dictionary • -Genie An optional switch specifying to write the netlist in the Genie format. • -Ndl An optional switch specifying to write the netlist in the NDL format. • -Model An optional switch specifying to generate an ATPG library file. • -Replace An optional sw[...]

  • Page 679

    Command Dictionary Write Paths FastScan and FlexTest Reference Manual, V8.6_4 2-651 Write Paths Tools Supported: FastScan Scope: Atpg, Good, and Fault modes Usage WRIte PAths filename [- All | {-Path gate_id_begin gate_id_end }] [-Replace] Description Writes the path definitions of the loaded paths into the file that you specify. The Write Paths co[...]

  • Page 680

    FastScan and FlexTest Reference Manual, V8.6_4 2-652 Write Paths Command Dictionary • -Replace An optional switch that replaces the contents of the file if the filename already exists. Examples The following example writes to the file, using the path definition file format, the pins in the specified path: write paths /user/design/pathfile -path 1[...]

  • Page 681

    Command Dictionary Write Primary Inputs FastScan and FlexTest Reference Manual, V8.6_4 2-653 Write Primary Inputs Tools Supported: FlexTest Scope: All modes Usage WRIte PRimary Inputs filename [-Replace] [- All | net_pathname ... | primary_input_pin ...] [-Class { Full | User | System}] Description Writes the primary inputs to the specified file. T[...]

  • Page 682

    FastScan and FlexTest Reference Manual, V8.6_4 2-654 Write Primary Inputs Command Dictionary • primary_input_pin An optional repeatable string that specifies a list of system class primary input pins that you want to write. • -Class Full | User | System An optional switch and literal pair that specifies the source (or class) of the primary inpu[...]

  • Page 683

    Command Dictionary Write Primary Outputs FastScan and FlexTest Reference Manual, V8.6_4 2-655 Write Primary Outputs Tools Supported: FlexTest Scope: All modes Usage WRIte PRimary Outputs filename [-Replace] [- All | net_pathname ... | primary_output_pin ...] [-Class { Full | User | System}] Description Writes the primary outputs to the specified fi[...]

  • Page 684

    FastScan and FlexTest Reference Manual, V8.6_4 2-656 Write Primary Outputs Command Dictionary • primary_input_pin An optional repeatable string that specifies a list of system class primary output pins that you want to write. • -Class Full | User | System An optional switch and literal pair that specifies the source (class) of the primary outpu[...]

  • Page 685

    Command Dictionary Write Procfile FastScan and FlexTest Reference Manual, V8.6_4 2-657 Write Procfile Tools Supported: FastScan and FlexTest Scope: All modes Usage WRIte PRocfile proc_file_name [-Replace] Description Writes existing procedure and timing data to the named enhanced procedure file. The Write Procfile command writes out existing proced[...]

  • Page 686

    FastScan and FlexTest Reference Manual, V8.6_4 2-658 Write Statistics Command Dictionary Write Statistics Tools Supported: FlexTest Scope: All modes Usage WRIte STatistics filename [-Replace] Description Writes the current simulation statistics to the specified file. The Write Statistics command writes a detailed statistics report to the file that [...]

  • Page 687

    Command Dictionary Write Statistics FastScan and FlexTest Reference Manual, V8.6_4 2-659 o The percentage of test coverage, fault coverage, and ATPG effectiveness for both collapsed and total faults • Test Patterns Statistics which lists the total numbers for the following: o total patterns currently in the test pattern set o total number of patt[...]

  • Page 688

    FastScan and FlexTest Reference Manual, V8.6_4 2-660 Write Statistics Command Dictionary The following listing shows the contents of the example file: Total number of sequential instances = 2 *****Circuit Statistics***** # of primary inputs = 12 # of primary outputs = 6 # of library model instances = 14 # of combinational gates = 12 # of sequential[...]

  • Page 689

    Command Dictionary Write Timeplate FastScan and FlexTest Reference Manual, V8.6_4 2-661 Write Timeplate Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Usage WRIte TImeplate filename [-Replace] Description Writes the default timing information for non-scan related events into the file that you specify. The Write Timeplate command write[...]

  • Page 690

    FastScan and FlexTest Reference Manual, V8.6_4 2-662 Write Timeplate Command Dictionary Related Commands Save Patterns[...]

  • Page 691

    Command Dictionary Zoom In FastScan and FlexTest Reference Manual, V8.6_4 2-663 Zoom In Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage ZOOm IN scale_factor DFTInsight Menu Path: Zoom > In (Common popup menu) Desc[...]

  • Page 692

    FastScan and FlexTest Reference Manual, V8.6_4 2-664 Zoom Out Command Dictionary Zoom Out Tools Supported: DFTInsight, FastScan, and FlexTest Scope: All modes Prerequisites: You must first invoke the optional DFTInsight application and have it displaying instances. Usage ZOOm OUt scale_factor DFTInsight Menu Path: Zoom > Out (Common popup menu) [...]

  • Page 693

    FastScan and FlexTest Reference Manual, V8.6_4 3-1 Chapter 3 Shell Commands This chapter contains descriptions of the shell commands for invoking FastScan and FlexTest. Shell Command Descriptions The remaining pages in this chapter describe, in alphabetical order, the shell commands that you use to invoke the command-line version of FastScan and Fl[...]

  • Page 694

    FastScan and FlexTest Reference Manual, V8.6_4 3-2 fastscan Shell Commands fastscan Prerequisites: You must have a design in one of the required formats on which to invoke. The valid formats are: EDDM, EDIF, TDL, Verilog, VHDL, GENIE and SPICE. Minimum Typing: This invocation command does not follow the conventional minimum typing rule. The capital[...]

  • Page 695

    Shell Commands fastscan FastScan and FlexTest Reference Manual, V8.6_4 3-3 If you do not specify the -License retry_limit option and a license is not available, you will be prompted to do one of the following: 1. Try again for an available license. 2. Wait 1 minute and try again for an available license. 3. Exit. Arguments • -Falcon An optional s[...]

  • Page 696

    FastScan and FlexTest Reference Manual, V8.6_4 3-4 fastscan Shell Commands • -VERILOG A switch that specifies that design_name is a netlist in Verilog format. • -VHDL A switch that specifies that the design_name is a netlist in VHDL format. You must also have a dft.map file present in the same directory as the VHDL netlist. For information on t[...]

  • Page 697

    Shell Commands fastscan FastScan and FlexTest Reference Manual, V8.6_4 3-5 • -LOGfile filename An optional switch and string pair that specifies the name of the file to which you want FastScan to write all session information. The default is to display session information to the standard output. • -Replace An optional switch that overwrites the[...]

  • Page 698

    FastScan and FlexTest Reference Manual, V8.6_4 3-6 fastscan Shell Commands • -Help An optional switch that displays a message that contains all the FastScan invocation switches and a brief description of each. • -Usage An optional switch that displays a message that contains just the FastScan invocation switches, with no descriptions. • -Vers[...]

  • Page 699

    Shell Commands flextest FastScan and FlexTest Reference Manual, V8.6_4 3-7 flextest Prerequisites: You must have a design in one of the required formats on which to invoke. The valid formats are: EDDM, EDIF, TDL, Verilog, VHDL, GENIE and SPICE. Minimum Typing: This invocation command does not follow the conventional minimum typing rule. The capital[...]

  • Page 700

    FastScan and FlexTest Reference Manual, V8.6_4 3-8 flextest Shell Commands If you do not specify the -License retry_limit option and a license is not available, you will be prompted to do one of the following: 1. Try again for an available license. 2. Wait 1 minute and try again for an available license. 3. Exit. Arguments • -Falcon An optional s[...]

  • Page 701

    Shell Commands flextest FastScan and FlexTest Reference Manual, V8.6_4 3-9 • -VERILOG A switch that specifies that design_name is a netlist in Verilog format. • -VHDL A switch that specifies that the design_name is a netlist in VHDL format. You must also have a dft.map file present in the same directory as the VHDL netlist. For information on t[...]

  • Page 702

    FastScan and FlexTest Reference Manual, V8.6_4 3-10 flextest Shell Commands • -NOGui An optional switch that invokes FlexTest in command-line mode (without the Graphical User Interface). • -FaultSIM An optional switch that invokes only the FlexTest fault simulator. This switch prevents you from entering the ATPG system mode. • -TOP model_name[...]

  • Page 703

    Shell Commands flextest FastScan and FlexTest Reference Manual, V8.6_4 3-11 Example The following example invokes FlexTest in command line mode on an EDIF netlist named design1.edif, whose library parts are in a file called mitsu_lib10. FlexTest keeps a session log in a file called design1_atpg.log, replacing the contents of the file if it already [...]

  • Page 704

    FastScan and FlexTest Reference Manual, V8.6_4 3-12 flextest Shell Commands[...]

  • Page 705

    FastScan and FlexTest Reference Manual, V8.6_4 4-1 Chapter 4 Test Pattern File Formats This chapter describes the test pattern file formats for FastScan and FlexText. Each tool uses a slightly different format so this chapter is divided into the following two major sections: • “FastScan Test Pattern File Format” on page 4-1 • “FlexTest Te[...]

  • Page 706

    FastScan and FlexTest Reference Manual, V8.6_4 4-2 FastScan Test Pattern File Format Test Pattern File Formats // date - the date in which the scan model creation was performed. // statistics - the test coverage, the number of faults for each fault class, and the total number of test patterns. // settings - the description of the environment of whi[...]

  • Page 707

    Test Pattern File Formats FastScan Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-3 CLOCK “clock_name1” = OFF_STATE = <off_state_value>; PULSE_WIDTH = <pulse_width_value>; END; CLOCK “clock_name2” = OFF_STATE = <off_state_value>; PULSE_WIDTH = <pulse_width_value>; END; This defines the list[...]

  • Page 708

    FastScan and FlexTest Reference Manual, V8.6_4 4-4 FastScan Test Pattern File Format Test Pattern File Formats SCAN_GROUP “scan_group_name1” = <scan_group_information> END; SCAN_GROUP “scan_group_name2” = <scan_group_information> END; .... .... This defines each scan group that is contained in the circuit. A scan chain group is [...]

  • Page 709

    Test Pattern File Formats FastScan Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-5 The type of procedures may include shift procedure, load and unload procedure, shadow-control procedure, master-observe procedure, shadow-observe procedure, and skew-load procedure. The list of events may be any combination of the followin[...]

  • Page 710

    FastScan and FlexTest Reference Manual, V8.6_4 4-6 FastScan Test Pattern File Format Test Pattern File Formats CHAIN_TEST = APPLY “test_setup” <value> <time>; PATTERN = <number>; APPLY “scan_group_load_name” <time> = CHAIN “scan_chain_name1” = “values....”; CHAIN “scan_chain_name2” = “values....”; ...[...]

  • Page 711

    Test Pattern File Formats FastScan Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-7 Here is an example of a functional scan chain test: CHAIN_TEST = APPLY “test_setup” 1 0; PATTERN = 0; APPLY “g1_load” 0 = CHAIN “c2” = “XXXXXXXXX0011001100110011001100”; CHAIN “c1” = “XXXXXXXXXXXXX001100110011001100?[...]

  • Page 712

    FastScan and FlexTest Reference Manual, V8.6_4 4-8 FastScan Test Pattern File Format Test Pattern File Formats Scan_Test The scan_test section contains the definition of the scan test patterns that were created by the FastScan program. A scan pattern will normally include the following: SCAN_TEST = PATTERN = <number>; FORCE “PI” “primar[...]

  • Page 713

    Test Pattern File Formats FastScan Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-9 The primary input values will be in the order of a one-to-one correspondence with the primary inputs defined in the setup section. The primary output values will also be in the order of a one-to-one correspondence with the primary outputs [...]

  • Page 714

    FastScan and FlexTest Reference Manual, V8.6_4 4-10 FastScan Test Pattern File Format Test Pattern File Formats Each scan pattern will have the property that it is independent of all other scan patterns. The normal scan pattern will contain the following events: 1. Load values into the scan chains. 2. Force values on all non-clock primary inputs. 3[...]

  • Page 715

    Test Pattern File Formats FastScan Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-11 Scan_Cell The scan_cell section contains the definition of the scan cells used in the circuit. The scan cell data will be in the following format: SCAN_CELLS = SCAN_GROUP “group_name1” = SCAN-CHAIN “chain_name1” = SCAN_CELL = <[...]

  • Page 716

    FastScan and FlexTest Reference Manual, V8.6_4 4-12 FlexTest Test Pattern File Format Test Pattern File Formats • relscoinv - Inversion of the memory element relative to the library output pin of the scan cell. The value may be T (inversion) or F (no inversion). • instance_name - The top level boundary instance name of the memory element in the[...]

  • Page 717

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-13 The setup information may include the following: TEST_CYCLE_WIDTH = <integer>; This defines the width of the test cycle that specifies the number of time units in each test cycle for forcing and/or measuring values at specific time [...]

  • Page 718

    FastScan and FlexTest Reference Manual, V8.6_4 4-14 FlexTest Test Pattern File Format Test Pattern File Formats The test_setup information is as follows: PROCEDURE TEST_SETUP “test_setup” = FORCE “primary_input_name1” <value> <time>; FORCE “primary_input_name2” <value> <time>; .... .... END; This procedure must b[...]

  • Page 719

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-15 The scan chain definition defines the data associated with a scan chain in the circuit. If there are multiple scan chains within one scan group, each scan chain will have its own independent scan chain definition. The scan chain name will[...]

  • Page 720

    FastScan and FlexTest Reference Manual, V8.6_4 4-16 FlexTest Test Pattern File Format Test Pattern File Formats This command indicates the time in the shift procedure that values are to be measured on the scan chain outputs. The scan chain name will be enclosed in double quotes. Functional_Chain_Test If the circuit has scan operation defined for th[...]

  • Page 721

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-17 CHAIN “scan_chain_name1” = “values...”; CHAIN “scan_chain_name2” = “values...”; .... END; The number for the scan is the sequence where a functional scan chain test for all scan chains in the circuit is to be tested. The s[...]

  • Page 722

    FastScan and FlexTest Reference Manual, V8.6_4 4-18 FlexTest Test Pattern File Format Test Pattern File Formats An event in a normal test cycle can be a force event, or measure event. All events have to have correct timing order, as defined by the Add Pin Constraints and Add Pin Strobes commands. The format of a force event is as follows. FORCE “[...]

  • Page 723

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-19 <relscoinv> <instance_name> <model_name> <input_pin> <output_pin>; .... END; SCAN_CHAIN “chain_name2” = SCAN_CELL = <cellid> <type> <sciinv> <scoinv> <relsciinv> <relscoin[...]

  • Page 724

    FastScan and FlexTest Reference Manual, V8.6_4 4-20 FlexTest Test Pattern File Format Test Pattern File Formats scoinv - Inversion of the library output pin of the scan cell relative to the scan chain output pin. The value may be T (inversion) or F (no inversion). relsciinv - Inversion of the memory element relative to the library input pin of the [...]

  • Page 725

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-21 // TABLE FORMAT PATTERNS PI CLOCK PI G3 PI G2 PI G1 PI G0 PO G17 P01111 P00101 P00101 P10011 P10010 P10000 P00100 P01111 P10001 P10010 P00011 P00101 If any lines start with a double slash (//), it will be treated as a comment and ignored.[...]

  • Page 726

    FastScan and FlexTest Reference Manual, V8.6_4 4-22 FlexTest Test Pattern File Format Test Pattern File Formats Control Section This section defines the pin order, where each line defines one primary pin. The format for each line is as follows: <type> <pin_name> or <type> <pin_name> <control_name> (for styles 1a and 1b[...]

  • Page 727

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-23 Style #2: (two columns required) The types are IO_PI and IO_PO. IO_PI is the input value and IO_PO is the output value. Both the pin names must be the same as the inout pin name. Style #3: (only one column required) The type is IO_HL. If [...]

  • Page 728

    FastScan and FlexTest Reference Manual, V8.6_4 4-24 FlexTest Test Pattern File Format Test Pattern File Formats The waveform shape of each input pin is defined by the Add Pin Constraints command. If the waveform type for a input pin is specified as C0, C1, CX, CZ, RO, or R1, then it is optional to list that input pin and type. To create a table for[...]

  • Page 729

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-25 PI CLOCK PI G3 PI G2 PI G1 PI G0 PO G17 To create the data section, each line will correspond to one test cycle. For cycle = 0, we have: FORCE “ibus” “10111” 0; FORCE “ibus” “00111” 1; MEASURE “obus_1” “1” 2; Since[...]

  • Page 730

    FastScan and FlexTest Reference Manual, V8.6_4 4-26 FlexTest Test Pattern File Format Test Pattern File Formats SETUP = DECLARE INPUT BUS “ibus” = “/IA”, “/IB”, “/E”, “/IOA”, “/IOB”; DECLARE OUTPUT BUS “obus_1” = “/IOA”, “IOB”; END; CYCLE_TEST = CYCLE = 0; FORCE “ibus” “100ZZ” 0; MEASURE “obus_1” “Z[...]

  • Page 731

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-27 VCD Support Using VCD Plus FlexTest accepts existing Verilog or VHDL functional patterns through its VCD (Value Change Dump) Plus files which can be generated during simulation. This functionality is useful because FlexTest can use existi[...]

  • Page 732

    FastScan and FlexTest Reference Manual, V8.6_4 4-28 FlexTest Test Pattern File Format Test Pattern File Formats The -dumpports switch captures detailed port driver data for Verilog ports and VHDL std_logic ports. The -r switch specifies that signal and port selection occurs recursively into subregions. To create LSI extensions of VCD file for Veril[...]

  • Page 733

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-29 SET PAttern Source Internal | {{ External filename } [-Ascii | -Table | -Vcd ][ -Control control_filename ] [-NOPadding]} Example of the LSI Logic Extended VCD Plus Format Patterns $date March 2, 1997 10:05:01 $end $version VERILOG-XL 2.3[...]

  • Page 734

    FastScan and FlexTest Reference Manual, V8.6_4 4-30 FlexTest Test Pattern File Format Test Pattern File Formats Format Patterns” on page 4-29 ). Therefore the period, data_sample_time, offset, and optional pulse_width must be scaled using the timescale. Otherwise the conversion from VCD format to FlexTest's cycle representation may be inaccu[...]

  • Page 735

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-31 This command defines a timeplate for the input pins which are listed in the pin_list . Pin names in the pin_list must be separated by space(s). 4. Setup Output Strobe strobe_time This command sets the default strobe time for all primary o[...]

  • Page 736

    FastScan and FlexTest Reference Manual, V8.6_4 4-32 FlexTest Test Pattern File Format Test Pattern File Formats o Unknown direction dump character ‘1’ will be used as an input ‘1’ on an input pin or a measure ‘1’ on an output pin. o All other unknown direction dump characters, ‘?’,’F’,’A’,’a’,’B’,’b’,’C’,’c[...]

  • Page 737

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-33 // `timescale 1ns / 1ns module test_vcd_ctl; integer _compare_fail; integer _bit_count; integer _pattern_count; reg[5:0] _ibus; reg[3:0] _exp_obus, _msk_obus; wire[3:0] _sim_obus; wire rb, in2, cnt1, clk, buf_in, ixo, out_ff, out1, buf_ou[...]

  • Page 738

    FastScan and FlexTest Reference Manual, V8.6_4 4-34 FlexTest Test Pattern File Format Test Pattern File Formats end test_vcd test_vcd_inst (.rb(rb), .in2(in2), .cnt1(cnt1), .clk(clk), .buf_in(buf_in), .ixo(ixo), .out_ff(out_ff), .out1(out1), .buf_out(buf_out)); initial begin // This is the command used for generating LSI extension of VCD file from [...]

  • Page 739

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-35 -> compare_exp_sim_obus; /* Pattern 3 */ _pattern_count = 3; #1000; /* 16000 */ _ibus=6’b00000Z; #2000; /* 18000 */ _ibus=6’b00010Z; #1000; /* 19000 */ _exp_obus=4’b0000; _msk_obus=4’b1111; -> compare_exp_sim_obus; /* Patter[...]

  • Page 740

    FastScan and FlexTest Reference Manual, V8.6_4 4-36 FlexTest Test Pattern File Format Test Pattern File Formats LSI Extension of VCD file Generated from Verilog-XL: $date Fri Jun 6 15:12:09 1997 $end $version dumpports $Revision: 1.11.4.6 $ $end $timescale 1ns $end $scope module test_vcd_ctl.test_vcd_inst $end $var port 1 <0 rb $end $var port 1 [...]

  • Page 741

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-37 #4000 pU 0 6 <0 pD 6 0 <1 pD 6 0 <3 #6000 pU 0 6 <3 #6149 pH 0 6 <5 #8000 pD 6 0 <0 pU 0 6 <1 pD 6 0 <2 pD 6 0 <3 #8065 pL 6 0 <5 #8305 pH 0 6 <8 #8488 pH 0 6 <6 #12000 pD 6 0 <1 pD 6 0 <4 #12401 [...]

  • Page 742

    FastScan and FlexTest Reference Manual, V8.6_4 4-38 FlexTest Test Pattern File Format Test Pattern File Formats #413 pL 6 0 <6 #14000 pU 0 6 <3 #16000 pU 0 6 <2 pD 6 0 <3 pB 6 6 <8 #16096 pU 0 6 <8 #16279 pH 0 6 <6 #18000 pU 0 6 <3 #20000 pD 6 0 <3 pD 6 0 <8 #20126 pL 6 0 <6 FlexTest Dofile: set test cycle 2 add pin[...]

  • Page 743

    Test Pattern File Formats FlexTest Test Pattern File Format FastScan and FlexTest Reference Manual, V8.6_4 4-39 VCD Reader Control File Example: set collision check off add timeplate tp 4000 1900 0 add timeplate tp_clk 4000 3000 2000 2000 setup input waveform tp add input waveform tp_clk clk setup output strobe 3900 set time check results/time_chec[...]

  • Page 744

    FastScan and FlexTest Reference Manual, V8.6_4 4-40 FlexTest Test Pattern File Format Test Pattern File Formats[...]

  • Page 745

    FastScan and FlexTest Reference Manual, V8.6_4 5-1 Chapter 5 Distributed FlexTest FlexTest has the ability to divide ATPG processes into smaller sets and run these sets simultaneously on multiple workstations. This capability is called Distributed FlexTest . The workstation from which FlexTest is invoked is known as the master machine and the FlexT[...]

  • Page 746

    FastScan and FlexTest Reference Manual, V8.6_4 5-2 Distributed FlexTest Figure 5-1. Master and Slave Workstations Distributed FlexTest checks for licenses according to the following: • Master Process - A master process is required to have a full FlexTest license and a distributed key (another full FlexTest license). • Slave Process - A slave pr[...]

  • Page 747

    Distributed FlexTest FastScan and FlexTest Reference Manual, V8.6_4 5-3 Parallelism during Fault Simulation mode is also exploited using data-parallelism by distributing faults across processors. However, since fault simulation is a deterministic process, the coverage results in serial and parallel invocations are the same. Parallel fault simulatio[...]

  • Page 748

    FastScan and FlexTest Reference Manual, V8.6_4 5-4 Distributed FlexTest Environment Setup Before using Distributed FlexTest, you must ensure that the following environment variables are defined in the shell start-up file ( .cshrc or .kshrc ) for the master machine . • MGLS_LICENSE_FILE - a variable that specifies the location of MGC license infor[...]

  • Page 749

    Distributed FlexTest FastScan and FlexTest Reference Manual, V8.6_4 5-5 Figure 5-2. FlexTest Invocation Arguments Dialog Box You can enter the path to the Host File in the Host File entry field or use the Browse button to navigate to the appropriate directory. Host File Entry Field[...]

  • Page 750

    FastScan and FlexTest Reference Manual, V8.6_4 5-6 Distributed FlexTest Host File Syntax The -Hostfile option allows you to specify a list of hosts for distributed execution. Each host is listed in host_filename on a line by itself with some additional parameters to specify the execution environment on the remote machine. The following optional par[...]

  • Page 751

    Distributed FlexTest FastScan and FlexTest Reference Manual, V8.6_4 5-7 hosts available for distributed processing. The command also lists working directories, MGC_HOME path names, the number of tasks scheduled, the relative speeds and the platform types.[...]

  • Page 752

    FastScan and FlexTest Reference Manual, V8.6_4 5-8 Distributed FlexTest[...]

  • Page 753

    FastScan and FlexTest Reference Manual, V8.6_4 A-1 Appendix A Timing Command Dictionary This appendix contains descriptions of FastScan and FlexTest timing file commands. Each tool’s commands appear in separate sections. • “FastScan Timing Commands” on page A-3 describes the FastScan timing commands. • “FlexTest Timing Commands” on pa[...]

  • Page 754

    FastScan and FlexTest Reference Manual, V8.6_4 A-2 Timing Command Summary Timing Command Dictionary Table A-1. Timing Command Summary Command/Statement F S F T Description SET BIDI_FORCE TIME • Sets bidirectional pin force time for each timeframe. SET CYCLE • Extends the non-scan cycle duration to ensure stability without adding extra timeframe[...]

  • Page 755

    Timing Command Dictionary FastScan Timing Commands FastScan and FlexTest Reference Manual, V8.6_4 A-3 FastScan Timing Commands This section describes, in alphabetical order, the commands that FastScan uses to define timing information and enable specific timing checks for test patterns. These commands reside in a timing file--they are not applicati[...]

  • Page 756

    FastScan and FlexTest Reference Manual, V8.6_4 A-4 SET END_MEASURE_CYCLE TIME Timing Command Dictionary SET END_MEASURE_CYCLE TIME Scope: Enables special timing rules checking Usage SET END_MEASURE_CYCLE TIME integer Description Ensures that the primary output measure is the last event of the test cycle and moves the measure_sco event to the end of[...]

  • Page 757

    Timing Command Dictionary SET END_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-5 Note that the measure_sco event for the first shift procedure cycle occurs at the end of the load_unload procedure. All other measure_sco events for shift cycles occur at the end of the previous shift cycles. Unlike the SET SPLIT_MEASURE_CYCLE TI[...]

  • Page 758

    FastScan and FlexTest Reference Manual, V8.6_4 A-6 SET END_MEASURE_CYCLE TIME Timing Command Dictionary • Each test procedure force event time on a non-clock pin corresponds to the force_pi time in the super timeplate. • The measure_sco time in the shift procedure, which defines when the scan output measure should occur, is zero. In some tester[...]

  • Page 759

    Timing Command Dictionary SET END_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-7 The design.g1 test procedure file contains the following shift procedure for scan group g1: PROC SHIFT = FORCE_SCI 0; MEASURE_SCO 0; // must happen at time 0 FORCE clk_a 1 300; FORCE clk_a 0 400; PERIOD 500; END; Related Commands SET SINGLE_CYCLE[...]

  • Page 760

    FastScan and FlexTest Reference Manual, V8.6_4 A-8 SET PROCEDURE FILE Timing Command Dictionary SET PROCEDURE FILE Scope: Sets timing information Usage SET PROCEDURE FILE { “ scan_group_name ”“ filename ” }... Description Specifies which test procedure files to use during pattern save. ATPG requires the test procedure file to contain the pr[...]

  • Page 761

    Timing Command Dictionary SET PROCEDURE FILE FastScan and FlexTest Reference Manual, V8.6_4 A-9 Examples The following example uses the timing information from the test procedure file design.g1 for the g1 scan group: SET PROCEDURE FILE “g1” “design.g1”; Related Commands SET TIME SCALE[...]

  • Page 762

    FastScan and FlexTest Reference Manual, V8.6_4 A-10 SET SINGLE_CYCLE TIME Timing Command Dictionary SET SINGLE_CYCLE TIME Scope: Enables special timing rules checking Usage SET SINGLE_CYCLE TIME integer Description Enables timing rules checking to ensure a single time exists for both scan and non- scan test cycles. Some tester formats, such as Comp[...]

  • Page 763

    Timing Command Dictionary SET SINGLE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-11 • SET END_MEASURE_CYCLE TIME • SET SPLIT_MEASURE_CYCLE TIME Arguments • integer Time value that specifies both the scan and non-scan cycle duration. This number must match the shift procedure period. Examples The following example satisfies all[...]

  • Page 764

    FastScan and FlexTest Reference Manual, V8.6_4 A-12 SET SPLIT_BIDI_CYCLE TIME Timing Command Dictionary SET SPLIT_BIDI_CYCLE TIME Scope: Enables special timing rules checking Usage SET SPLIT_BIDI_CYCLE TIME integer Description Specifies the period for test procedures and splits the non-scan cycle before the force or bidi_force time. Certain tester [...]

  • Page 765

    Timing Command Dictionary SET SPLIT_BIDI_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-13 • For each scan test procedure, each force event time on a non-clock pin corresponds to the split_bidi_cycle time minus the force_pi times in the super timeplate. The tool subtracts the split_bidi_cycle time from the timeplate force times befor[...]

  • Page 766

    FastScan and FlexTest Reference Manual, V8.6_4 A-14 SET SPLIT_BIDI_CYCLE TIME Timing Command Dictionary //FastScan Timing file SET TIME SCALE 1 ns; SET SPLIT_BIDI_CYCLE TIME 500; // matches shift period TIMEPLATE “tp4” = FORCE_PI 0; //only event in first cycle // cycle split at time 500, prior to bidi force BIDI_FORCE_PI 550; // time 50 of seco[...]

  • Page 767

    Timing Command Dictionary SET SPLIT_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-15 SET SPLIT_MEASURE_CYCLE TIME Scope: Enables special timing rules checking Usage SET SPLIT_MEASURE_CYCLE TIME integer Description Specifies the period for test procedures and splits the non-scan cycle at the measure time. Certain tester formats[...]

  • Page 768

    FastScan and FlexTest Reference Manual, V8.6_4 A-16 SET SPLIT_MEASURE_CYCLE TIME Timing Command Dictionary This command can also cause the application to split the non-scan test cycle into two tester cycles. The application splits the test cycle only if the measure_po event does not already occur as the last event of the test cycle. If you place th[...]

  • Page 769

    Timing Command Dictionary SET SPLIT_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-17 Arguments • integer The time value at which to split the cycle. This number must match the period of the shift procedure. Examples The following FastScan example writes out a pattern set that tests for transition faults. When init_force_pi i[...]

  • Page 770

    FastScan and FlexTest Reference Manual, V8.6_4 A-18 SET SPLIT_MEASURE_CYCLE TIME Timing Command Dictionary capture_clock_on 650; capture_clock_off 700; period 800; end; set split_measure_cycle time 200; set procedure file "g1" "ckt2.tp"; //Test procedure file “ckt2.tp” procedure test_setup = //test cycle 1 force clk 0 0; for[...]

  • Page 771

    Timing Command Dictionary SET SPLIT_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-19 Figure A-3 shows the non-scan test cycle timing for this example. Notice how the init_force_pi and SET SPLIT_MEASURE_CYCLE TIME splits the cycle time into four test cycles (each equal to one fourth of the timeplate period). Figure A-3. SET SPL[...]

  • Page 772

    FastScan and FlexTest Reference Manual, V8.6_4 A-20 SET STROBE_WINDOW TIME Timing Command Dictionary SET STROBE_WINDOW TIME Scope: Enables special timing rules checking Usage SET STROBE_WINDOW TIME integer Description Specifies the strobe window width. Some tester formats can measure primary outputs (POs) at the exact time that you specify with the[...]

  • Page 773

    Timing Command Dictionary SET STROBE_WINDOW TIME FastScan and FlexTest Reference Manual, V8.6_4 A-21 SET TIME SCALE 1 ns; SET STROBE_WINDOW TIME 50; TIMEPLATE “tp4” PERIOD 1000; FORCE_PI 0; BIDI_FORCE_PI 100; WRITE_RAM_CLOCK_ON 200; WRITE_RAM_CLOCK_OFF 300; MEASURE_PO 400; CAPTURE_CLOCK_ON 800; CAPTURE_CLOCK_OFF 900; END; Figure A-4 shows the o[...]

  • Page 774

    FastScan and FlexTest Reference Manual, V8.6_4 A-22 SET TIME SCALE Timing Command Dictionary SET TIME SCALE Scope: Sets timing information Usage SET TIME SCALE number unit Description Sets the time scale and unit. FastScan applies the timing scale and unit you specify in the timing file to the test procedure file and timeplates. If you do not speci[...]

  • Page 775

    Timing Command Dictionary TIMEPLATE FastScan and FlexTest Reference Manual, V8.6_4 A-23 TIMEPLATE Scope: Sets timing information Usage TIMEPLATE “ timeplate_name ”= timeplate_statement ; ... END; Description Defines non-scan event timing. FastScan uses timeplate definitions within a timing file to specify timing waveforms for non-scan related e[...]

  • Page 776

    FastScan and FlexTest Reference Manual, V8.6_4 A-24 TIMEPLATE Timing Command Dictionary • timeplate_statement ; A set of statements, each ending with a semi-colon (;), that comprise the body of the timeplate file. For each statement, the time value must be either 0 or a positive integer. Also, timeplate statements can include comments. Comment te[...]

  • Page 777

    Timing Command Dictionary TIMEPLATE FastScan and FlexTest Reference Manual, V8.6_4 A-25 A single timeplate can contain more than one SKEW_FORCE_PI statement. Each SKEW_FORCE_PI statement can specify multiple pin names with the same force time. Each pin name you specify must appear in double-quotes. Note that this timeplate statement is similar to t[...]

  • Page 778

    FastScan and FlexTest Reference Manual, V8.6_4 A-26 TIMEPLATE Timing Command Dictionary before the capture clock pulses in a non-scan cycle (the MEASURE_PO event must occur prior to the capture clock). CAPTURE_CLOCK_OFF time — Specifies the time at which the tool forces the capture clock to its off state. SKEW_CAPTURE_CLOCK_ON “ pin_name” tim[...]

  • Page 779

    Timing Command Dictionary TIMEPLATE FastScan and FlexTest Reference Manual, V8.6_4 A-27 to mimic a clock pulsing in the non-scan timing definition, even though the patterns do not contain clock pulse events. This event must occur after all force events and before the DUMMY_CLOCK_OFF event. A timeplate that specifies dummy clock timing cannot specif[...]

  • Page 780

    FastScan and FlexTest Reference Manual, V8.6_4 A-28 TIMEPLATE Timing Command Dictionary Examples Example 1 shows a timeplate that illustrates many of the possible statements: TIMEPLATE “tp1” = FORCE_PI 0; SKEW_FORCE_PI “cntrl” 50; //bidi control pin BIDI_FORCE_PI 70; MEASURE_PO 90; CAPTURE_CLOCK_ON 100; CAPTURE_CLOCK_OFF 200; SKEW_CAPTURE_C[...]

  • Page 781

    Timing Command Dictionary TIMEPLATE FastScan and FlexTest Reference Manual, V8.6_4 A-29 TIMEPLATE “tp1” = FORCE_PI 0; BIDI_FORCE_PI 100; WRITE_RAM_CLOCK_ON 200; WRITE_RAM_CLOCK_OFF 300; PERIOD 1000; END; TIMEPLATE “tp2” = FORCE_PI 0; BIDI_FORCE_PI 100; MEASURE_PO 400; CAPTURE_CLOCK_ON 500; CAPTURE_CLOCK_OFF 600; PERIOD 1000; END; TIMEPLATE [...]

  • Page 782

    FastScan and FlexTest Reference Manual, V8.6_4 A-30 TIMEPLATE Timing Command Dictionary add clocks 1 clear set system mode atpg add fault -all run save pattern counter.tssi.ser counter.fst.time -tssi -ser // Timing file “counter.fst.time” set time scale 1 ns; Timeplate "tp0" = force_pi 2; dummy_clock_on 100; dummy_clock_off 200; measu[...]

  • Page 783

    Timing Command Dictionary TIMEPLATE FastScan and FlexTest Reference Manual, V8.6_4 A-31 force CLK 0 0; force CLK 1 100; force CLK 0 200; period 500; end; Related Commands SET PROCEDURE FILE SET TIME SCALE[...]

  • Page 784

    FastScan and FlexTest Reference Manual, V8.6_4 A-32 FlexTest Timing Commands Timing Command Dictionary FlexTest Timing Commands This section describes, in alphabetical order, the commands that FlexTest uses to define timing information and enable specific timing checks for test patterns. The commands described in this section reside in a timing fil[...]

  • Page 785

    Timing Command Dictionary SET BIDI_FORCE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-33 SET BIDI_FORCE TIME Scope: Sets timing information Usage SET BIDI_FORCE TIME time_value_list Description Sets bidirectional pin force time for each timeframe. The SET FORCE TIME command lets you specify one set of force times for all pins of the device[...]

  • Page 786

    FastScan and FlexTest Reference Manual, V8.6_4 A-34 SET BIDI_FORCE TIME Timing Command Dictionary Figure A-6 shows when the bidirectional pin forces occur based on this example. Figure A-6. SET BIDI_FORCE Timing Example Related Commands SET PROCEDURE FILE SET SPLIT_BIDI_CYCLE TIME measure cycle starts cycle ends 135ns 70ns 100ns 0 force force force[...]

  • Page 787

    Timing Command Dictionary SET CYCLE FastScan and FlexTest Reference Manual, V8.6_4 A-35 SET CYCLE Scope: Sets timing information Usage SET CYCLE integer Description Extends the non-scan cycle duration to ensure stability without adding extra timeframes. FlexTest commonly defines clock pin timing using a test cycle with two timeframes. In this case,[...]

  • Page 788

    FastScan and FlexTest Reference Manual, V8.6_4 A-36 SET CYCLE Timing Command Dictionary Example The following example shows how a SET CYCLE command can set the period of a test cycle, eliminating the need to add more timeframes. Assume you entered the following application commands within a FlexTest session: //FlexTest application commands set test[...]

  • Page 789

    Timing Command Dictionary SET CYCLE FastScan and FlexTest Reference Manual, V8.6_4 A-37 time 250. The only event allowed at time 200, which is the original end of the test cycle, is forcing the clock inactive. The next event, which is the non-return pin force, occurs at the start of the next test cycle, which is time 250ns. Note that non-return pin[...]

  • Page 790

    FastScan and FlexTest Reference Manual, V8.6_4 A-38 SET END_MEASURE_CYCLE TIME Timing Command Dictionary SET END_MEASURE_CYCLE TIME Scope: Enables special timing rules checking and sets timing information. Usage SET END_MEASURE_CYCLE TIME integer Description Ensures that the primary output measure is the last event of the test cycle, and moves the [...]

  • Page 791

    Timing Command Dictionary SET END_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-39 • The period of all scan test procedures equals the end_measure_cycle time or is the end_measure_cycle time multiplied by the number of cycles in the procedure. • The end_measure_cycle time is greater than the strobe time and less than or eq[...]

  • Page 792

    FastScan and FlexTest Reference Manual, V8.6_4 A-40 SET END_MEASURE_CYCLE TIME Timing Command Dictionary SET END_MEASURE_CYCLE TIME 500; SET FORCE TIME 300 400; SET MEASURE TIME 200 350; SET CYCLE TIME 500; SET PROCEDURE FILE “g1” “design.g1”; PROC SHIFT = FORCE_SCI 0; MEASURE_SCO 0; FORCE clk_a 1 300; FORCE clk_a 0 400; PERIOD 500; END; Re[...]

  • Page 793

    Timing Command Dictionary SET FIRST_FORCE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-41 SET FIRST_FORCE TIME Scope: Sets timing information Usage SET FIRST_FORCE TIME integer Description Sets input pin force time for the first timeframe. By default, pin forces for all unspecified pins occur at time 0 in the first timeframe. This command [...]

  • Page 794

    FastScan and FlexTest Reference Manual, V8.6_4 A-42 SET FORCE TIME Timing Command Dictionary SET FORCE TIME Scope: Sets timing information Usage SET FORCE TIME time_value_list Description Sets input pin force time for each timeframe. The SET FORCE TIME command lets you specify force times for all input pins of a device under test during each timefr[...]

  • Page 795

    Timing Command Dictionary SET FORCE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-43 Arguments • time_value_list A set of time values indicating when the input pin forces should occur. The number of list values must equal the number of timeframes in the test cycle, as specified by the Set Test Cycle application command. In the first timef[...]

  • Page 796

    FastScan and FlexTest Reference Manual, V8.6_4 A-44 SET FORCE TIME Timing Command Dictionary Related Commands SET END_MEASURE_CYCLE TIME SET END_MEASURE_CYCLE TIME SET PROCEDURE FILE SET SPLIT_BIDI_CYCLE TIME[...]

  • Page 797

    Timing Command Dictionary SET MEASURE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-45 SET MEASURE TIME Scope: Sets timing information Usage SET MEASURE TIME time_value_list Description Sets output pin measure time for each timeframe. The SET MEASURE TIME command lets you specify measure times for all output pins of a device during each tim[...]

  • Page 798

    FastScan and FlexTest Reference Manual, V8.6_4 A-46 SET MEASURE TIME Timing Command Dictionary Figure A-9 shows when the output pin measures occur based on this example. Figure A-9. SET MEASURE Timing Example You could use these measure times in conjunction with the force times specified in the SET FORCE TIME example. In the first 150ns test cycle,[...]

  • Page 799

    Timing Command Dictionary SET PROCEDURE FILE FastScan and FlexTest Reference Manual, V8.6_4 A-47 SET PROCEDURE FILE Scope: Sets timing information Usage SET PROCEDURE FILE { “ scan_group_name ”“ filename ” }... Description Specifies which test procedure files to use during pattern save. ATPG requires the test procedure file to contain the p[...]

  • Page 800

    FastScan and FlexTest Reference Manual, V8.6_4 A-48 SET PROCEDURE FILE Timing Command Dictionary Related Commands SET TIME SCALE[...]

  • Page 801

    Timing Command Dictionary SET SINGLE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-49 SET SINGLE_CYCLE TIME Scope: Enables special timing rules checking Usage SET SINGLE_CYCLE TIME integer Description Enables timing rules checking to ensure a single time exists for both scan and non- scan test cycles. Some tester formats, such as Comp[...]

  • Page 802

    FastScan and FlexTest Reference Manual, V8.6_4 A-50 SET SINGLE_CYCLE TIME Timing Command Dictionary • SET SPLIT_MEASURE_CYCLE TIME Arguments • integer Time value that specifies both the scan and non-scan cycle duration. This number must match the period of the shift procedure. Examples The following example satisfies all the timing constraints [...]

  • Page 803

    Timing Command Dictionary SET SINGLE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-51 Related Commands SET END_MEASURE_CYCLE TIME[...]

  • Page 804

    FastScan and FlexTest Reference Manual, V8.6_4 A-52 SET SKEW_FORCE TIME Timing Command Dictionary SET SKEW_FORCE TIME Scope: Sets timing information Usage SET SKEW_FORCE TIME “ pin_name” time_value_list Description Specifies input pin force time for particular pins in each timeframe. While the SET FORCE TIME command specifies force times for al[...]

  • Page 805

    Timing Command Dictionary SET SKEW_FORCE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-53 Examples The following example shows a timing file that includes the SET BIDI_FORCE TIME command used with the SET FORCE TIME and SET MEASURE TIME commands. Assume the test cycle contains four timeframes. SET FORCE TIME 20 40 70 150; SET MEASURE TIME 1[...]

  • Page 806

    FastScan and FlexTest Reference Manual, V8.6_4 A-54 SET SPLIT_BIDI_CYCLE TIME Timing Command Dictionary SET SPLIT_BIDI_CYCLE TIME Scope: Enables special timing rules checking Usage SET SPLIT_BIDI_CYCLE TIME integer Description Specifies the period for test procedures and splits the non-scan cycle before the force or bidi_force time. Certain testers[...]

  • Page 807

    Timing Command Dictionary SET SPLIT_BIDI_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-55 Note that you can specify only one of the following commands in a timing file: • SET SINGLE_CYCLE TIME • SET SPLIT_BIDI_CYCLE TIME • SET END_MEASURE_CYCLE TIME • SET SPLIT_MEASURE_CYCLE TIME Arguments • integer The time value at which t[...]

  • Page 808

    FastScan and FlexTest Reference Manual, V8.6_4 A-56 SET SPLIT_BIDI_CYCLE TIME Timing Command Dictionary MEASURE_SCO 200; FORCE clk_a 1 300; //force time - split_bidi time FORCE clk_a 0 400; //force time - split_bidi time PERIOD 500; END; Related Commands SET SINGLE_CYCLE TIME SET SPLIT_MEASURE_CYCLE TIME[...]

  • Page 809

    Timing Command Dictionary SET SPLIT_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-57 SET SPLIT_MEASURE_CYCLE TIME Scope: Enables special timing rules checking Usage SET SPLIT_MEASURE_CYCLE TIME integer Description Specifies the period for test procedures and splits the non-scan cycle at the measure time. Certain tester formats[...]

  • Page 810

    FastScan and FlexTest Reference Manual, V8.6_4 A-58 SET SPLIT_MEASURE_CYCLE TIME Timing Command Dictionary • For clock pins, each test procedure force event time corresponds to the pair of pin force times. Note that the tool subtracts the split_measure_cycle from the force times before this check. • Each test procedure force event on a non-cloc[...]

  • Page 811

    Timing Command Dictionary SET SPLIT_MEASURE_CYCLE TIME FastScan and FlexTest Reference Manual, V8.6_4 A-59 save pat pat.split.titdl -titdl counter.flx.time.split //Timing file “counter.flx.time.split” commands set time scale 1 ns; set split_measure_cycle time 250; set force time 300 400; set measure time 200 350; set cycle time 500; set procedu[...]

  • Page 812

    FastScan and FlexTest Reference Manual, V8.6_4 A-60 SET STROBE_WINDOW TIME Timing Command Dictionary SET STROBE_WINDOW TIME Scope: Enables special timing rules checking Usage SET STROBE_WINDOW TIME integer Description Specifies the strobe window width. Some tester formats can measure primary outputs (POs) at the exact time that you specify with the[...]

  • Page 813

    Timing Command Dictionary SET STROBE_WINDOW TIME FastScan and FlexTest Reference Manual, V8.6_4 A-61 //Timing file commands SET TIME SCALE 1 ns; SET STROBE_WINDOW TIME 50; SET FORCE TIME 800 900; SET MEASURE TIME 400 850; SET CYCLE TIME 1000; Figure A-11 shows the output strobe window for this example. Figure A-11. SET STROBE_WINDOW Timing Diagram [...]

  • Page 814

    FastScan and FlexTest Reference Manual, V8.6_4 A-62 SET TIME SCALE Timing Command Dictionary SET TIME SCALE Scope: Sets timing information Usage SET TIME SCALE number unit Description Sets the time scale and unit. FlexTest applies the timing scale and unit you specify in the timing file to the test procedure file and timeplates. If you do not speci[...]

  • Page 815

    FastScan and FlexTest Reference Manual, V8.6_4 B-1 Appendix B FlexTest WDB Translation Support Mentor Graphics provides a shell command utility called wdb2flex to translate Mentor Graphics waveform databases (WDBs) to FlexTest table format patterns. This gives FlexTest the ability to act as a fault simulator for existing patterns. The benefits of u[...]

  • Page 816

    FastScan and FlexTest Reference Manual, V8.6_4 B-2 Control File FlexTest WDB Translation Support WDB. The strength of these forces and results waveforms determines whether the utility treats a bidirectional pin as an input or output. For specific information about how wdb2flex resolves the state of bidirectional pins refer to page B-8 . Control Fil[...]

  • Page 817

    FlexTest WDB Translation Support Control File FastScan and FlexTest Reference Manual, V8.6_4 B-3 The Setup Output Strobes command sets the default strobe point for all output waveforms. Keeping the test cycle in mind, you should specify a time before the clock is active, but after the output data is stable. Typically, you should not strobe at the c[...]

  • Page 818

    FastScan and FlexTest Reference Manual, V8.6_4 B-4 Example FlexTest WDB Translation Support Example This example assumes that Figure B-1 shows the behavior of two of the design’s clocks. Figure B-1. Example WDB2FLEX Circuit Timing Example Here is an example of how to invoke wdb2flex from the shell to translate a Mentor Graphics waveform database [...]

  • Page 819

    FlexTest WDB Translation Support Example FastScan and FlexTest Reference Manual, V8.6_4 B-5 You can also invoke wdb2flex from the FlexTest command line to perform the same translation by using the System command as shown here: SYStem $MGC_HOME/bin/wdb2flex -O flex.stim sample_commands forces.wdb results.wdb The control file, called sample_commands [...]

  • Page 820

    FastScan and FlexTest Reference Manual, V8.6_4 B-6 Using wdb2flex Effectively FlexTest WDB Translation Support Given this timing information, FlexTest will need a test cycle with three timeframes in order to successfully simulate all the necessary events. The first timeframe is from 900-980ns, when both clocks are off. The second timeframe is from [...]

  • Page 821

    FlexTest WDB Translation Support Using wdb2flex Effectively FastScan and FlexTest Reference Manual, V8.6_4 B-7 4. For each output pin, find the relative time in the period at which the output is stable for all test cycles. This defines the output strobe time of each output pin. This can be a point at the middle of the test cycle, after changing all[...]

  • Page 822

    FastScan and FlexTest Reference Manual, V8.6_4 B-8 Using wdb2flex Effectively FlexTest WDB Translation Support • wdb2flex resolves the state of bidirectional pins as either input or output based on the state of the forces and results waveform associated with the pin. If the forces waveform has a weak strength, the bidirectional is treated as an o[...]

  • Page 823

    FlexTest WDB Translation Support Using wdb2flex Effectively FastScan and FlexTest Reference Manual, V8.6_4 B-9 4. For each clock pin, set up return pin constraints corresponding to the control file (SR0, SR1, R0, R1), with an offset value equal to the timeframe at which the clock goes active. The pulse width should correspond to the difference betw[...]

  • Page 824

    FastScan and FlexTest Reference Manual, V8.6_4 B-10 Using wdb2flex Effectively FlexTest WDB Translation Support The following describes the corresponding wdb2flex control file. You can derive this control file using the steps listed for obtaining an optimum control file. set cycle time 250 setup input strobes 75 setup output strobes 95 add input cl[...]

  • Page 825

    FlexTest WDB Translation Support Using wdb2flex Effectively FastScan and FlexTest Reference Manual, V8.6_4 B-11 • Insufficient time after each external event in the QuickSim II waveform database for the simulation to stabilize before application of the next external event. FlexTest assumes that all events have sufficient time to stabilize before [...]

  • Page 826

    FastScan and FlexTest Reference Manual, V8.6_4 B-12 Using wdb2flex Effectively FlexTest WDB Translation Support[...]

  • Page 827

    Index FastScan and FlexTest Reference Manual, V8.6_4 Index-1 A About This Manual, xvii Acronyms, xxi ASCII Pattern Format Functional Chain Test, 4-16 Scan Cell, 4-18 Setup Data, 4-12 Test Data, 4-17 C Commands Abort Interrupted Process, 2-28 Add Ambiguous Paths, 2-29 Add Atpg Constraints, 2-31 Add Atpg Functions, 2-36 Add Capture Handling, 2-40 Add[...]

  • Page 828

    FastScan and FlexTest Reference Manual, V8.6_4 Index-2 INDEX [continued] Index Delete Mos Direction, 2-184 Delete Net Property, 2-185 Delete Nofaults, 2-186 Delete Nonscan Handling, 2-189 Delete Notest Points, 2-191 Delete Observe Points, 2-193 Delete Output Masks, 2-195 Delete Paths, 2-197 Delete Pin Constraints, 2-199 Delete Pin Equivalences, 2-2[...]

  • Page 829

    Index INDEX [continued] FastScan and FlexTest Reference Manual, V8.6_4 Index-3 Report Pin Equivalences, 2-355 Report Pin Strobes, 2-356 Report Primary Inputs, 2-357 Report Primary Outputs, 2-359 Report Procedure, 2-361 Report Pulse Generators, 2-362 Report Random Weights, 2-363 Report Read Controls, 2-364 Report Scan Cells, 2-365 Report Scan Chains[...]

  • Page 830

    FastScan and FlexTest Reference Manual, V8.6_4 Index-4 INDEX [continued] Index Set Pulse Generators, 2-552 Set Race Data, 2-553 Set Rail Strength, 2-554 Set Ram Initialization, 2-555 Set Ram Test, 2-557 Set Random Atpg, 2-559 Set Random Clocks, 2-560 Set Random Patterns, 2-562 Set Random Weights, 2-563 Set Redundancy Identification, 2-565 Set Schem[...]

  • Page 831

    Index INDEX [continued] FastScan and FlexTest Reference Manual, V8.6_4 Index-5 Flextest command, 3-7 I Inputs to FastScan, 1-2 Introduction, 1-1 N newink Test Pattern File Format99Setup_Data, 4-2 O Outputs from FastScan, 1-2 R Related documentation, xix S Spice commands Add Mos Direction, 2-81 Add Net Property, 2-83 Delete Mos Direction, 2-184 Dele[...]