Emerson PMPPC7448 manual

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136

Go to page of

A good user manual

The rules should oblige the seller to give the purchaser an operating instrucion of Emerson PMPPC7448, along with an item. The lack of an instruction or false information given to customer shall constitute grounds to apply for a complaint because of nonconformity of goods with the contract. In accordance with the law, a customer can receive an instruction in non-paper form; lately graphic and electronic forms of the manuals, as well as instructional videos have been majorly used. A necessary precondition for this is the unmistakable, legible character of an instruction.

What is an instruction?

The term originates from the Latin word „instructio”, which means organizing. Therefore, in an instruction of Emerson PMPPC7448 one could find a process description. An instruction's purpose is to teach, to ease the start-up and an item's use or performance of certain activities. An instruction is a compilation of information about an item/a service, it is a clue.

Unfortunately, only a few customers devote their time to read an instruction of Emerson PMPPC7448. A good user manual introduces us to a number of additional functionalities of the purchased item, and also helps us to avoid the formation of most of the defects.

What should a perfect user manual contain?

First and foremost, an user manual of Emerson PMPPC7448 should contain:
- informations concerning technical data of Emerson PMPPC7448
- name of the manufacturer and a year of construction of the Emerson PMPPC7448 item
- rules of operation, control and maintenance of the Emerson PMPPC7448 item
- safety signs and mark certificates which confirm compatibility with appropriate standards

Why don't we read the manuals?

Usually it results from the lack of time and certainty about functionalities of purchased items. Unfortunately, networking and start-up of Emerson PMPPC7448 alone are not enough. An instruction contains a number of clues concerning respective functionalities, safety rules, maintenance methods (what means should be used), eventual defects of Emerson PMPPC7448, and methods of problem resolution. Eventually, when one still can't find the answer to his problems, he will be directed to the Emerson service. Lately animated manuals and instructional videos are quite popular among customers. These kinds of user manuals are effective; they assure that a customer will familiarize himself with the whole material, and won't skip complicated, technical information of Emerson PMPPC7448.

Why one should read the manuals?

It is mostly in the manuals where we will find the details concerning construction and possibility of the Emerson PMPPC7448 item, and its use of respective accessory, as well as information concerning all the functions and facilities.

After a successful purchase of an item one should find a moment and get to know with every part of an instruction. Currently the manuals are carefully prearranged and translated, so they could be fully understood by its users. The manuals will serve as an informational aid.

Table of contents for the manual

  • Page 1

    PmPPC7448: PowerPC™-Based Processor PMC Module User’s Manual from Emerson Network Power ™ Embedded Computing September 2007[...]

  • Page 2

    The informatio n in this manu al has been che cked and is beli eved to be accu rate and reli - able. HOWEVER, NO RESPONSIBILITY IS ASSUMED BY EMERSON NETWORK POWER, EMBEDDED COMPUTING FOR ITS USE OR FOR ANY INACCURACIES. S pecifications are sub- ject to change without noti ce. EMERSON DOES NOT ASSUME ANY LIABILIT Y ARISING OUT OF USE OR OTHER APPLI[...]

  • Page 3

    10006757-02 P mPPC7448 User’s Manual i Regulatory Agency Warnings & Notices The Emerson P mPPC7448 meet s the requi reme nts set forth by t he Federal Communica- tions Commission (FCC) in Title 47 of the Code of Federal Regu lations. The fo llowing infor- mation is provided as re quired by this agency. T h i s d e v i c e c o m p l i es w i t[...]

  • Page 4

    Regulatory Agency Warnings & Notices (continued) PmPPC7448 User’s Manual 10006757-02 ii EC Declaration of Conformity According to EN 45014:1998 Manufacturer’s Na me: Emerson Network Power Embedded Computing Manufacturer’s Address: 8310 Excelsior D rive Madison, Wisc onsin 5371 7 Declares that th e following produ ct, in accordance wi th t[...]

  • Page 5

    10006757-02 P mPPC7448 User’s Manual iii Contents 1O v e r v i e w Components and Features . . . . . . . . . . . 1-1 Functional Overv iew . . . . . . . . . . . . . . . . 1-3 Physical Memory Map . . . . . . . . . . . . . . . 1-4 Additional I nformation . . . . . . . . . . . . . . 1-6 Product Certifi cation . . . . . . . . . . . . . 1-6 UL Certific[...]

  • Page 6

    Contents (continued) PmPPC7448 User’s Manual 10006757-02 iv Interrupt Pendin g Register (IPR) . . . 7-4 Product ID Register (PIR) . . . . . . . . . . . . . .7-5 EReady Register (ERdy) . . . . . . . . . . . . . . .7-5 Revision Registers . . . . . . . . . . . . . . . . . . .7-5 Hardware Version Register (HVR) . . 7-6 PLD Version R egister (PVR) . .[...]

  • Page 7

    Contents (continued) 10006757-02 P mPPC7448 User’s Manual v saveenv . . . . . . . . . . . . . . . . . . . . . .11-17 setenv . . . . . . . . . . . . . . . . . . . . . . . .11-17 Test Commands . . . . . . . . . . . . . . . . . . 11-17 diags . . . . . . . . . . . . . . . . . . . . . . . . .11-18 mtest . . . . . . . . . . . . . . . . . . . . . . . .1[...]

  • Page 8

    PmPPC7448 User’s Manual 10006757-02 vi (blank page )[...]

  • Page 9

    10006757-02 P mPPC7448 User’s Manual vii Figures Figure 1-1: General System Blo ck Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3 Figure 1-2: PmPPC7448 Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4 Figure 2-1: Component Map[...]

  • Page 10

    PmPPC7448 User’s Manual 10006757-02 viii (blank page )[...]

  • Page 11

    10006757-02 P mPPC7448 User’s Manual ix Tables Table 1-1: Address Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5 Table 1-2: Regulatory Agenc y Compliance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6 Table 1-3: Technical [...]

  • Page 12

    PmPPC7448 User’s Manual 10006757-02 x (blank page )[...]

  • Page 13

    10006757-02 P mPPC7448 User’s Manual xi Registers Register 3-1: MPC7448 Hardware Imp lementation Dependent, HID0 . . . . . . . . . . . . . . . . . . . . . . . . 3-3 Register 3-2: MPC7448 Hardware Imp lementation Dependent, HID1 . . . . . . . . . . . . . . . . . . . . . . . . 3-5 Register 3-3: CPU Machi ne State Register (MSR) . . . . . . . . . . [...]

  • Page 14

    PmPPC7448 User’s Manual 10006757-02 xii (blank page )[...]

  • Page 15

    10006757-02 PmPPC7448 User’s Manual 1-1 Section 1 Overview The Emerson PmPP C7448 module is a Processor PCI Mezzani ne Card (PPMC). It is ba sed on the Freescale® Semiconductor Po werPC™ MPC7 448 central p rocessor unit and prov ides additional processing power for the b aseboar d, which must be compat ible with PPMC architecture. The Pm PPC74[...]

  • Page 16

    Overview: Components and Features PmPPC7448 User’s Manual 10006757-02 1-2 Note: GbE ports (0 and 1) are routed through the PHYs di rectly to connector P14. Therefore, magnetics are required on the Rear Transiti on Module (RTM) or baseboard. CPLD: T he PmPPC744 8 uses a Compl ex Programma ble Logic Device (CPLD) to impl ement various memory-mapped[...]

  • Page 17

    Overview: Functional Ov erview 10006757-02 PmPPC7448 User’s Manual 1-3 FUNCTIONAL OVERVIEW The following block diagram provi des a functional overview for the PmPPC7448: Figure 1-1: General System Block Diagram Motorola MPC7448 Microprocessor Flash 32/64 MB 10/100 Magnetics 10/100 PHY GbE PHY GbE PHY Device CPLD EIA-232 Tranceivers Marvell Config[...]

  • Page 18

    Overview: Physical Memory Map PmPPC7448 User’s Manual 10006757-02 1-4 PHYSICAL MEMORY MAP Fig. 1-1 illustrates the PmPPC7448 mem ory map: Figure 1-2: PmPPC7448 Memory Map 8000,0000 C000,0000 F810,0000 E800,0000 F800,0000 E9FF ,FFFF EBFF ,FFFF F820,0000 F830,0000 FFFF ,FFFF Hex Address 0000,0000 PCI 0 Memory I/O MV64460 CPLD ROM Socket Boot Mirror[...]

  • Page 19

    Overview: Physical Memory Map 10006757-02 PmPPC7448 User’s Manual 1-5 Table 1-1 su mmarizes the physical addresses for the PmPPC7448 and provides a refere nce to more detailed information: Table 1-1: Address Summary 1.If Monarch, read only; if non- Monarch, write on ly. 2.Depends on Flash size. Hex Physical Address: Access Mode: Description: See [...]

  • Page 20

    Overview: Additional Information PmPPC7448 User’s Manual 10006757-02 1-6 ADDITIONAL INFORMATION This section lists the PmPPC744 8 hardware regulatory certifications and briefly discu sses the terminology and notat ion conventions used in this manual. It also lists general technical references. Mean time between failures (MTBF) has been calculated[...]

  • Page 21

    Overview: Additional Information 10006757-02 PmPPC7448 User’s Manual 1-7 Note: EMC tes ting was perfor med with out the front panel se rial or Ethernet cables installed. These ports are for debug purposes only. Also, EMC test ing was not performed for t he configuration with the taller heatsink (for 15 mm connector stackup). This configuration is[...]

  • Page 22

    Overview: Additional Information PmPPC7448 User’s Manual 10006757-02 1-8 RoHS Compliance The PmPPC7448 is compli ant with the European Uni on’s RoHS (Restriction of Use of Haz- ardous Substances) direct ive created to limi t harm to the environment and human health by restricting the use of harmful substances in electrical and electr onic equip[...]

  • Page 23

    Overview: Additional Information 10006757-02 PmPPC7448 User’s Manual 1-9 3.Frequently, the most current information regarding ad denda/e rrata for specif ic documents may be found on the corres ponding web site. Ethernet KSZ8721CL 3.3V Single Power Supply 10/100BASE-TX/FX MII Physical Layer Transceiver Data Sheet (Micrel® Inc., Rev. 1.2, M9999-0[...]

  • Page 24

    PmPPC7448 User’s Manual 10006757-02 1-10 (blank page )[...]

  • Page 25

    10006757-02 P mPPC7448 User’s Manual 2-1 Section 2 Setup This chapter describes the physical layout of the boa rds, the setup process, and how to check for proper operat ion once the boards have been inst alled. This chapt er also includes troubleshooting, service, and warranty information. ELECTROSTATIC DISCHARGE Before you begin the setup p roc[...]

  • Page 26

    Setup: PmPPC7448 Circuit Board PmPPC7448 User’s Manual 10006757-02 2-2 The following figures show the component maps for t he PmPPC7448 circuit board. Figure 2-1: Component Map, Top (Rev. 06) SW1 U3 SODIMM C15 C19 C9 C43 C28 C29 C16 C17 C31 C18 C32 C110 C199 C5 C323 C253 C46 C40 C22 C27 C36 C38 C25 C37 C51 C23 C50 C49 C47 C62 C63 C53 C52 C54 C56 [...]

  • Page 27

    Setup: PmPPC7448 Circuit Board 10006757-02 P mPPC7448 User’s Manual 2-3 Figure 2-2: Component Map, Bottom (Rev . 06) C189 C245 C91 C249 C248 C274 C261 C263 C250 C265 C73 C251 C231 C215 C180 C247 C242 C246 C241 C232 C188 Y3 C190 L13 C20 C174 C107 C21 C185 C142 C144 C104 C76 C89 C90 C103 C84 C79 C97 C68 C85 C80 C66 C77 C101 C95 C75 C98 C81 C71 C225[...]

  • Page 28

    Setup: PmPPC7448 Circuit Board PmPPC7448 User’s Manual 10006757-02 2-4 Connectors The PmPPC7448 has the following conne ctors: P1: This mini-USB (universal seri al bus) is the connection to the fron t panel 10/100 PHY Ether- net (port 2). Refer to Table 6-2 for the pin assignments. P2: P2 is a mini-USB conn ector for the front panel serial port. [...]

  • Page 29

    Setup: PmPPC7448 Circuit Board 10006757-02 P mPPC7448 User’s Manual 2-5 LEDs The PmPPC7448 ha s fifteen green light-em itting diodes (LEDs) on the back side of the board (see Fig. 2-3 ). Figure 2-3: LED Locations, Bottom P3-DMC CR5 CR4 CR3 CR2 CR1 CR11 CR10 CR26 CR25 CR24 CR23 CR30 CR29 CR28 CR27 CR1-Debug LED4 CR2-Debug LED3 CR3-Debug LED2 CR4-D[...]

  • Page 30

    Setup: PmPPC7448 Circuit Board PmPPC7448 User’s Manual 10006757-02 2-6 Front Panel The PmPPC7448 has a single-wid e PPMC front pa nel wit h an Electrom agnetic Interferenc e (EMI) gasket. Note: The electr omagnetic compa tibility (EMC) test s used a PmPPC74 48 model that includ es a front panel assembly from Emerson. Caution: For appl ications wh[...]

  • Page 31

    Setup: PmPPC7448 Circuit Board 10006757-02 P mPPC7448 User’s Manual 2-7 Reset The reset signals are routed to the CPL D, unl ess stated otherwise. See Chapter 7 for the reset registers. The following sources can reset the PmPPC74 48: Power-on: This causes a hard reset to the entire board, incl uding the PCI interfaces. Front panel: This reset swi[...]

  • Page 32

    Setup: PmPPC7448 Setup PmPPC7448 User’s Manual 10006757-02 2-8 Figure 2-5: Reset Diagram PMPPC7448 SETUP You need the following item s to set up and chec k the operation of th e Emerson PmPPC7448: ❐ An Emerson PmPPC7 448 board ❐ A compatible host board, such as the E merson CC1000-DM or Ka tana750i ❐ Card cage and p ower supply ❐ CRT term[...]

  • Page 33

    Setup: PmPPC7448 Setup 10006757-02 P mPPC7448 User’s Manual 2-9 Caution: Do not install the boa rd in a rack or remove th e board from a rack while pow er is applied, at risk of damage to the board. Power Requirements Be sure your power supply is sufficient for th e PmPPC7448 circui t board. Standard power is 3.3 volts, howe ver a dual powe r sup[...]

  • Page 34

    Setup: PmPPC7448 Setup PmPPC7448 User’s Manual 10006757-02 2-10 Installing the Module Most PPMC-comp atible bas eboards have two sets of fo ur connector s (J11, J12 , J13, J14 and J21, J22, J2 3, J24), as defined b y the PMC standard P1 386.1. This allows th e PmPPC7448 to be installed i n either PPMC slo t. Fig. 2-6 shows the location of these c[...]

  • Page 35

    Setup: Troubleshooting 10006757-02 P mPPC7448 User’s Manual 2-11 3 Align the P11 and P12 connect ors and gently press the module into pl ace until firmly mated. Caution: To avoid damaging the module and/or base board, do not force the module onto the baseboard. Figure 2-7: Insta lling the Module 4 Using four M2.5x5 mm pa nhead screws (E merson pa[...]

  • Page 36

    Setup: Troubleshooting PmPPC7448 User’s Manual 10006757-02 2-12 ❐ Be sure the PmPPC7448 module is seated fi rmly on the PPMC host and t hat the PPMC host is seated firmly in the ca rd cage. ❐ Verify the boot ju mper setting i f the DMC is installe d (see page 10-9). ❐ Be sure the system is not overheating. ❐ Check the cabl es and connecto[...]

  • Page 37

    Setup: Troubleshooting 10006757-02 P mPPC7448 User’s Manual 2-13 Figure 2-8: Serial Num ber and Product ID on Bottom Side Product Repair If you plan to return the board to Emerson Network Power for service, visi t http://www.emersonem beddedcom puting.com/contact/productrepair.html on t he inter- net or send e-mail to serviceinfo@artesyncp. com t[...]

  • Page 38

    Setup: Troubleshooting PmPPC7448 User’s Manual 10006757-02 2-14 Emerson Net work Power, Embedded Co mputing Test and Re pair Servic es Depart ment 8310 Excelsio r Drive Madison, WI 53717 RMA #__________ __ Please put the RMA number on the outside of the package so we can handle your problem efficiently. O ur service departmen t cannot ac cept mat[...]

  • Page 39

    10006757-02 P mPPC7448 User’s Manual 3-1 Section 3 Central Processing Unit This chapter is an overvi ew of the processor logic on the Pm P PC7448. It includes informa- tion on the CPU, exception handling, and ca c he memory. The P mPPC7448 util izes the Free - scale MPC7448 RISC microprocess o r, for more detailed info rm ation reference the Free[...]

  • Page 40

    Central Processing Unit: Processor Reset PmPPC7448 User’s Manual 10006757-02 3-2 Figure 3-1: MPC7448 Bl ock Diagram PROCESSOR RESET Circuitry on the PmPPC7448 mo dule resets the processor and the board. It a ctivates the RESET_OUT* sign al on pin 60 of the P12 connecto r if the module voltages fall out of toler- ance or if the optiona l on-board [...]

  • Page 41

    Central Processing Unit: Processor Initia lization 10006757-02 P mPPC7448 User’s Manual 3-3 Hardware I mplementatio n Dependent 0 Register The Hardware Imple mentation Dep endent 0 (HID0 ) register contains bits for CPU-sp ecific features. Most of these bi ts are cleared on in itial power-up of the PmPPC74 48. Please refer to the MPC7450 RISC Mic[...]

  • Page 42

    Central Processing Unit: Processor Initia lization PmPPC7448 User’s Manual 10006757-02 3-4 XAE: Extended Addressing Enabled 0 Disabled; the 4 MSB bits of the 36-bit physical ad dress are cleare d, 32-bit physical address is used 1 Enabled; the 3 2-bit effective ad dress is translated to a 36-bit physical ad dress NHR: Not Hard R eset (software u [...]

  • Page 43

    Central Processing Unit: Processor Initia lization 10006757-02 P mPPC7448 User’s Manual 3-5 BHT: Branch History Tabl e Enable 0D i s a b l e d 1 Allows use of dynamic prediction 2048-entry BHT NOPDST: No-op the dst, dstt, dstst, and dst stt instructions 0 Instructions enabled 1 Instructions are no-oped gl obally and all previously execu ted dst s[...]

  • Page 44

    Central Processing Unit: Exception Handling PmPPC7448 User’s Manual 10006757-02 3-6 PAR: Disable Precharge for ARTRY*, SHD0 *, and SHD1* pins 0 Signals d riven high whe n negated 1 Signals not driven high when nega ted DFS4: Dynamic Frequency S witching div ide-by-four mode 0D i s a b l e d 1E n a b l e d DFS2: Dynamic Frequency Sw itching divide[...]

  • Page 45

    Central Processing Unit: Exception Handling 10006757-02 P mPPC7448 User’s Manual 3-7 Instruction Fetch: Synchronous precise exceptions ar e taken in strict program order. Instruction Disp atch/Execution: Imprecise exceptions are dela yed until higher priority exce ptions are taken. Post-Instruction Exe cution: Maskable asynchronous exceptions are[...]

  • Page 46

    Central Processing Unit: Exception Processing PmPPC7448 User’s Manual 10006757-02 3-8 EXCEPTION PROCESSING When an excepti on occurs, the addr ess saved in Machine S tatus Save/Resto re register 0 (SRR0) helps d etermine where instruction pro c essing should resume when the exception handler returns control to the interrupted process . Machine St[...]

  • Page 47

    Central Processing Unit: Exception Processing 10006757-02 P mPPC7448 User’s Manual 3-9 Register 3-3: CP U Machine State Register (MSR) VEC: AltiVec vector uni t available 0 Prevents AltiVec instructions di spatch 1E x e c u t e s A l t i V e c instructions POW: Power Management enable—setting this bi t enables the programmable power mana ge- me[...]

  • Page 48

    Central Processing Unit: Cache Memory PmPPC7448 User’s Manual 10006757-02 3-10 SE: Single-Step Trace enable 0 Executes instructions normally 1 Single-step trace ex ception generated BE: Branch Tr ace enable 0 Executes instructions normally 1 Branch type trace exception generat ed IP: Exception Prefix 0 Places the except ion vector table at the ba[...]

  • Page 49

    Central Processing Unit: Cache Memory 10006757-02 P mPPC7448 User’s Manual 3-11 L2 Cache The internal 1 megabyte L2 cache i s an eight-way set associ ative instruction and data cache with ECC capability. Th e L2 cache is fully pipe lined to provide 32 bytes per clock to the L1 caches. The L2 Cache Control registe r (L2CR) configures and operates [...]

  • Page 50

    Central Processing Unit: Cache Memory PmPPC7448 User’s Manual 10006757-02 3-12 L2HWF: L2 Hardware Flush 0F l u s h d i s a b l e d 1 Flush enab led LVRAME: LVRAM enable 0 LVRAM mode disa bled 1L V R A M m o d e e n a b l e d LVRAMM: LVRAM mode (read-only) 000 Reserved if LVRAM mode is enabled 001 Mode 1 010 Mode 2 011 Mode 3 100 Mode 4 101 Mode 5[...]

  • Page 51

    10006757-02 P mPPC7448 User’s Manual 4-1 Section 4 On-Card Memory Configuration The PmPPC7448 inc ludes the following me mory devices: • Up to 64 megabytes of Flash memory • Synchronous DRAM (SDRAM) con figurations up to 2 gigabyt es • Eight kilo bytes of non- volatile mem ory BOOT MEMORY CONFIGURATION The PmPPC7448 boot default is th e on-[...]

  • Page 52

    On-Card Memory Configuration: On-Card SDRAM PmPPC7448 User’s Manual 10006757-02 4-2 If booting from user Flash, th e MV64460 cont ro ller initia lly maps one megabyte ad dressing of Flash memory (beginning at FF80,0000 16 ) at the top of the address space. When an 8-bit Flash device is installed in the P LCC socket, it al ways appears at F80 0,00[...]

  • Page 53

    On-Card Memory Configuration: NVRAM Allocation 10006757-02 P mPPC7448 User’s Manual 4-3 Table 4-3: NVRAM Memo ry Map Address Offset (hex): Name: Window Size (bytes): 0x1E14-0x1FFF Reserved 492 0x1E00-0x1E13 Test software flags 20 0x1DDC-0x1DFF Boot verify parameters 24 0x1DD8-0x1DDB Power-on self-tes t (POST) diag nostic res ults 4 0x1800-0x1DD7 [...]

  • Page 54

    PmPPC7448 User’s Manual 10006757-02 4-4 (blank page )[...]

  • Page 55

    10006757-02 P mPPC7448 User’s Manual 5-1 Section 5 System Controller The Marvell MV64460 is an integrate d system controller with a PCI interface and communi- cation ports for high performa nce embedded cont rol applications. Th e MV64460 has a five bus architect ure: • A 64-bit interface to th e CPU bus • A 64-bit interface to DDR SDRAM • [...]

  • Page 56

    System Controller: CPU Interface PmPPC7448 User’s Manual 10006757-02 5-2 CPU INTERFACE CPU interface featu res include: • 32-bit address and 64 -bit data buses • Support for Symmetrical Mu lti-Processing (SMP) in both 60x and MPX bus modes • Support for up to four slave devices on the same 60x bus • 166 MHz CPU bus frequency • CPU addre[...]

  • Page 57

    System Controller: Device Controller Interface 10006757-02 P mPPC7448 User’s Manual 5-3 • Up to 166 MH z clock frequency • Support for 256 megabyte s to 2 gigabytes • Up to two giga bytes addres s space per DRAM ba nk • Supports both physical bank (M_ CS[3:0]) and virtual ba nk (M_BA[1:0]) i nterleaving The MV64460 has a n umber of SDRAM [...]

  • Page 58

    System Controller: Internal (IDMA) Controller PmPPC7448 User’s Manual 10006757-02 5-4 Device Control Registers Each bank has its ow n parameters register an d can be programmed to 8, 1 6, or 32-bits wide. The device int erface consists of 128 byte s of write buffer and 128 bytes of read buffer. INTERNAL (IDMA) CONTROLLER Each of the four DMA engi[...]

  • Page 59

    System Controller: PCI Interface 10006757-02 P mPPC7448 User’s Manual 5-5 PCI Configura tion Space The PCI slave s upports Type 00 c onfiguration s pace header a s defined in th e PCI specifica - tion. The MV64 460 is a multi-fu nction devic e and the heade r is implemen ted in all fiv e functions. The PCI interface i mplements the co nfiguration[...]

  • Page 60

    System Controller: PCI Interface PmPPC7448 User’s Manual 10006757-02 5-6 Figure 5-3: Example PCI0 Address Map, Monarch Figure 5-4: Example P CI0 Address Map, Non-Monarch (Defaul t) 0000,0000 8000,0000 B000,0000 B400,0000 CPU 0000,0000 8000,0000 B000,0000 PCI0 Memory 0000,0000 8000,0000 SDRAM Size Max SDRAM Size PCI Memory S pace PCI0 I/O 0000,000[...]

  • Page 61

    System Controller: PCI Bus Control Signals 10006757-02 P mPPC7448 User’s Manual 5-7 PCI Interface Registers PCI0 and PCI1 contain th e same set of internal register s, but are located at different offsets. A CPU access to the MV 64460 PCIx Configur atio n register is performed via the PCIx Config- uration Address and Data registers. Only PCI0 is [...]

  • Page 62

    System Controller: PCI Bus Control Signals PmPPC7448 User’s Manual 10006757-02 5-8 C/BE[7:4 ]*: BUS COMMAND and BYTE E NABLES During the a ddress phase, the actua l bus command is transferred, otherwis e these bits are reserv ed. During a data pha se the lines are used a s byte enabl es. CLK: CLOCK This input signal to PPMC modules provides timin[...]

  • Page 63

    System Controller: PCI Bus Control Signals 10006757-02 P mPPC7448 User’s Manual 5-9 PRESENT*: PRESENT When groun ded, this in put signal ind icates to a c arrier that a PPM C module is installed. RESET_OUT*: RESET OUTPUT This output signa l may be used to support a reset butto n or other reset source on the PPMC module. It is an open drain output[...]

  • Page 64

    System Controller: PMC Connector Pinouts PmPPC7448 User’s Manual 10006757-02 5-10 PMC CONNECTOR PINOUTS Each connector has 64 pins (see Fi g. 5-6 on page 5-12). P11 and P12 Pin Assignments P11 and P12 suppo rt the 32-bit PCI bus con nectors (see Table 5- 1 ). Fig. 5-5 illust rates the MV64460 JTAG signal s routed from connector P12. Figure 5-5: P[...]

  • Page 65

    System Controller: PMC Connector Pinouts 10006757-02 P mPPC7448 User’s Manual 5-11 P13 and P14 Pin Assignments P13 and P14 route the 64 -bit PCI, SIO, and Ethe rnet conf iguration signals to th e backplane. Eight general purpose input/output (GPIO) pi ns are provid ed on P14—these a re routed directly from the MV6446 0 multipurp ose pins. Table[...]

  • Page 66

    System Controller: PMC Connector Pinouts PmPPC7448 User’s Manual 10006757-02 5-12 The following signals for the PCI inte rface are availabl e on connector P14 . GPIOx: GENERAL PURPOSE INPUT OUTPUT The se I/O signals (TTL) a re connected to MV64 460 MPP[19, 21:27] . At powerup (default), these pins are confi gured as inputs. LPa_DX+/-, LPb_DX+/ -:[...]

  • Page 67

    System Controller: Doorbell Registers 10006757-02 P mPPC7448 User’s Manual 5-13 DOORBELL REGISTERS The MV64460 uses the doorbell registers in the messaging unit (MU) to request interrupts on both the PCI and CPU buses. There are two types of doorbell registers: Outbound: These are set by the MV 64460’s local C PU to request an interrupt se rvic[...]

  • Page 68

    System Controller: 66 MHz Bus Operation PmPPC7448 User’s Manual 10006757-02 5-14 66 MHZ BUS OPERATION Conventional PCI: In order fo r the PCI b us to operat e at 66 MHz, al l devices on the bus must be capable o f that speed. When the M66EN si gnal (connector P12 pin 47) is high for a particul ar PCI device, it indicates th at the device can oper[...]

  • Page 69

    10006757-02 P mPPC7448 User’s Manual 6-1 Section 6 Ethernet Interface The PmPPC7448 provides three ind ependent full du plex Ethernet p orts. Using the Marvell MV64460, these ports ar e configured to one 10/100 Mbps Medi a Independent Interface (MII) and two 10/100/100 0 Mbps Gigabit MII (GMII). The two gigabit Ethernet ports (ports 0 and 1) are [...]

  • Page 70

    Ethernet Interface: Ethernet Address PmPPC7448 User’s Manual 10006757-02 6-2 ETHERNET ADDRESS The Ethernet add ress for your board is a un ique identifie r on a network and must n ot be altered. The ad dress consists of 48 (MA C[47:0] ) bits di vided into two equal parts. The upper 24 bits define a unique identif ier that ha s been assigned to Em[...]

  • Page 71

    Ethernet Interface: Ethernet Connection (P1) 10006757-02 P mPPC7448 User’s Manual 6-3 Figure 6-1: Front P anel Ethernet Connec tor (P1) Table 6-2: Front Panel Eth ernet Pin Assignments (P1) Figure 6-2: Ethernet Ca ble Assemb ly Caution: The Mini -USB cable conn ection to P1 does not have a lockin g mechanism. Pulling on the cable may result in a [...]

  • Page 72

    PmPPC7448 User’s Manual 10006757-02 6-4 (blank page )[...]

  • Page 73

    10006757-02 P mPPC7448 User’s Manual 7-1 Section 7 CPLD This chapter li sts the regis ters implem ented by the c omplex progra mmable logic d evice (CPLD). RESET REGISTERS The reset signals are routed to and distributed by th e CPLD. To support this functionality, the CPLD includes two registers; one indi cates the reason for the last reset, and [...]

  • Page 74

    CPLD: Reset Registers PmPPC7448 User’s Manual 10006757-02 7-2 Register 7-2: Reset Comman d Register (RCR) at 0xf820,1000 SCL: Serial I 2 C Clock 1 T ri-states the PLD 0D r i v e s l o g i c l o w SDA: Serial I 2 C Data/Address 1 T ri-states the PLD 0D r i v e s l o g i c l o w R: Reserved (default is 00) I2C: I 2 C reset 1C a u s e s t h e I 2 C [...]

  • Page 75

    CPLD: Interrupt Registers 10006757-02 P mPPC7448 User’s Manual 7-3 SW: Software PCI reset driven when on-board hard reset is caused by a wr ite to the Reset Command regis- ter. 1E n a b l e d 0D i s a b l e d WD: WatchDog PCI reset driven when on-boa rd reset is caused by a timeout of the WatchDog timer. 1E n a b l e d 0D i s a b l e d COPH: Hard[...]

  • Page 76

    CPLD: Interrupt Registers PmPPC7448 User’s Manual 10006757-02 7-4 Interrupt Enable Register (IER) Register 7-4: PmPPC7448 Interrupt Enable Register (IER) at 0xf820,2000 R: Reserved (default is 000) SR0EN: PCI0 SERR Enable interrupt rout ed from PCI0 SERR to MV64460 1 En abled to generate an interrupt 0 Disabled ( default) PR0EN: PCI0 PERR Enabl e[...]

  • Page 77

    CPLD: Product ID Register (PIR) 10006757-02 P mPPC7448 User’s Manual 7-5 PRODUCT ID REGISTER (PIR) This read-only register identi fies the board as PmPPC7448. Register 7-6: PmPPC7448 Product ID Register (PIR) at 0xf820,4000 PIDR: Product Identification regist er 05 16 PmPPC7448 EREADY REGISTER (ERDY) The PmPPC7448 provides a register for sta tus [...]

  • Page 78

    CPLD: Board Configuration Registers PmPPC7448 User’s Manual 10006757-02 7-6 Hardware V ersion Register (HVR) Register 7-8: Hardware Vers ion Register (HVR) at 0xf820,7000 HVR: Hardware Version number This is hard c oded in the PL D and change s with every major PCB version. Version starts at 00 16 . PLD Version Register (PVR) Register 7-9: PLD Ve[...]

  • Page 79

    CPLD: Board Configuration Registers 10006757-02 P mPPC7448 User’s Manual 7-7 DMC: Development Me zzanine Card insta llation option 1 DMC is installed 0 DMC is not inst alled Register 7-11: PmPPC7448 Board Configuratio n 1 (BCR1) at 0xf820,a000 R: Reserved, default is 0 Boot DMC: Boot from De velopment Mezzan ine Card ROM or PPMC Flash 1 B oot fro[...]

  • Page 80

    PmPPC7448 User’s Manual 10006757-02 7-8 (blank page )[...]

  • Page 81

    10006757-02 P mPPC7448 User’s Manual 8-1 Section 8 Serial Input/Output The PmPPC7448 has two EIA-232 serial po rts. These ports operate be tween 9600 and 115,200 baud. Soft ware selects the spee d and these settings are stored in non-volatile memory. Serial port one is al ways routed to t h e Developm e nt Mezzanine Card (DMC) serial connector as[...]

  • Page 82

    Serial Input/Output: I2C Interface PmPPC7448 User’s Manual 10006757-02 8-2 BRGx Tuning Register A baud tuning mechanism adjusts the generated clock rat e to the receive clock rate. When baud tuning is enable d, the baud tuning mech anism monitors for a start bit (for example high-to-low transition ). Once the start bit is found, the baud tuning m[...]

  • Page 83

    Serial Input/Output: I/O Connection 10006757-02 P mPPC7448 User’s Manual 8-3 Table 8-2: Front Panel Seri al Port Pin Assi gnments (P2) 1.Signals (pin s 2 and 3) can be swit ched as a factory build option. Figure 8-2: Serial Cable Assembly (Em erson Part Number C0007662-00) Caution: The Mini -USB cable conn ection to P2 does not have a lockin g me[...]

  • Page 84

    PmPPC7448 User’s Manual 10006757-02 8-4 (blank page )[...]

  • Page 85

    10006757-02 P mPPC7448 User’s Manual 9-1 Section 9 Real-Time Clock The standard real- time clock (RTC) for th e PmPPC7448 is p rovided by an M41T 00 device from STMicroelectronics. Th is device has an integrat ed year-2000-c ompatible RTC, power sense circuitry, and uses eight bytes of non-vol atile RAM for the clock/calendar fu nction. The M41T0[...]

  • Page 86

    Real-Time Clock: Clock Operation PmPPC7448 User’s Manual 10006757-02 9-2 1 Seconds register 2 Minutes register 3 Century/Hours register 4 Day register 5 Date register 6 Month register 7 Years register 8 Control register The M41T00 clock co ntinually monitors the suppl y voltage (Vcc) for an out of tolerance condition . If Vcc falls belo w switch-[...]

  • Page 87

    Real-Time Clock: Clock Operation 10006757-02 P mPPC7448 User’s Manual 9-3 ST: Stop bit 1=Stops t he oscillator 0=Restarts the oscillator within one second CEB: Century Enable Bit 1=Causes CB to toggle eith er from 0 to 1 or from 1 to 0 at the turn of th e century 0=CB will not toggle CB: Century Bit Day: Day of the week Date: Day of the month OUT[...]

  • Page 88

    PmPPC7448 User’s Manual 10006757-02 9-4 (blank page )[...]

  • Page 89

    10006757-02 P mPPC7448 User’s Manual 10-1 Section 10 Development Mezzanine Card The Developme nt Mezzanine Card (DMC) is an opti onal plug-on card mounted on the bac k of the PmPPC7448 b oard to expedite p roduc t development. This chapter describes the physical layout of th e DMC, the setup process, and how to check for proper oper ation once th[...]

  • Page 90

    Development Mezzanine Card: DMC Circuit Board PmPPC7448 User’s Manual 10006757-02 10-2 Figure 10-1: DMC Com ponent Maps, Top and Bottom (Revision 01) Serial Numbers Before you insta ll the DMC in a system, you shoul d record the follo wing information: ❐ The board serial number: 667C- ____________ _____________ _____________ . The board serial [...]

  • Page 91

    Development Mezzanine Card: Connectors 10006757-02 P mPPC7448 User’s Manual 10-3 It is useful to have these nu mbers available when you contact Technical Support or Test and Repair Services at Emerson Network Power. CONNECTORS The DMC has the following c onnectors: P1: This 80-pin PCB-to-PCB female connector on the bottom side of the DMC routes m[...]

  • Page 92

    Development Mezzanine Card: Connectors PmPPC7448 User’s Manual 10006757-02 10-4 1. When pin 75 is grounded, this no tifies the PmPPC7448 that a DM C module is attached—presenc e detect (PD). 3.3 V: 3.3 V is the power supply to the DMC (analog). CPLD_TCK: PLD Test Cloc k is an input to DMC and part of t he PLD JTAG in terface. DMC_CS*: Chip Sele[...]

  • Page 93

    Development Mezzanine Card: Connectors 10006757-02 P mPPC7448 User’s Manual 10-5 DMC_OE*: Output Enable for DMC Flash i s an input to DMC. WE0*: Write Enable for DMC Flash is an input to DMC. LA(17:2): Latched Address for DMC Fl ash is an input to DMC. BADDR(2:0): Burst Address for DM C Flash is an input to DMC . AD(7:0): Multiplexed Address/Data[...]

  • Page 94

    Development Mezzanine Card: Connectors PmPPC7448 User’s Manual 10006757-02 10-6 P2 EIA-232 Interface Use the standard serial cable, Emers o n part number C00076 62-00, to access connector P2 . Pin assignments are listed in Table 10-3 . Figure 10-3: DMC P2 Mini- USB Connector Table 10-3: DMC P2 Pin Assignment s Pin: Signal: Pin: S ignal: 1 Not con[...]

  • Page 95

    Development Mezzanine Card: PmPPC7448 to DMC JTAG 10006757-02 P mPPC7448 User’s Manual 10-7 PMPPC7448 TO DMC JTAG Figure 10-4: PmPPC7448 to DMC JTAG Block Diagram P3 JTAG/COP The JTAG/COP interface provides for bo undary-scan testing of the CPU and the PmPPC7448. This inte rface is compliant with the IEEE 114 9.1 standard. Figure 10-5: DMC P3 JTA[...]

  • Page 96

    Development Mezzanine Card: PmPPC7448 to DMC JTAG PmPPC7448 User’s Manual 10006757-02 10-8 Table 10-4: DMC P3 Pin Assignment s 2. Pin 14 is no t installed. MPC7448 CKSTP_OUT*: Checkstop Out put—when asserted, this output si gna l indicates that the CPU has detect ed a checkstop condition an d has ceased operation. DEBUG_HRESET*: Hard Reset—th[...]

  • Page 97

    Development Mezzanine Card: DMC Jumpers (JP1) 10006757-02 P mPPC7448 User’s Manual 10-9 Table 10-5: DMC P4 Pin Assignment s CPLD_TCK: Test Clock Input—this is the clo ck input to the boundary scan test (BST) c ircuitry. Some operations occur at the rising edge, wh ile others occur at the falling edg e. CPLD_TDI : Test Data Input—this is th e [...]

  • Page 98

    Development Mezzanine Card: Debug/Status LEDs PmPPC7448 User’s Manual 10006757-02 10-10 JP3: This is a user-defined j umper. JP4: JP4 is the MV6446 0 serial ROM configurat ion jumper. If JP4 is instal led, the MV64460 wil l not try to configure from the serial ROM. Jumper Setting Register These read-only bi ts may be read by softwa re at location[...]

  • Page 99

    Development Mezzanine Card: DMC Setup 10006757-02 P mPPC7448 User’s Manual 10-11 DMC SETUP You need the following item s to set up an d check the ope ration of the Emerson DMC. ❐ A compatible PPMC board, su ch as the Emerson PmPPC7448 ❐ Card cage and p ower supply ❐ CRT terminal When you unpack the b o ard, save the antista tic bag and box [...]

  • Page 100

    Development Mezzanine Card: DMC Setup PmPPC7448 User’s Manual 10006757-02 10-12 Figure 10-8: DMC Loc ation on PmPPC7448 684- XXXXXX 1000XXXX-XX SP ARE ENET BOOT JP3 JP4 CPLD JT AG COP/JT AG PORT 1 PORT 0 1 2 1 2 12 10002939-00 C3 C4 C5 C7 C10 C1 1 C13 C12 C1 F1 U2 CR1 CR2 CR3 CR4 R23 R24 R25 R26 R27 R28 R29 R30 R3 R4 R5 R6 R1 R2 U5 U3 R9 R10 R1 1[...]

  • Page 101

    Development Mezzanine Card: Troubleshooting 10006757-02 P mPPC7448 User’s Manual 10-13 TROUBLESHOOTING In case of difficulty, use thi s checklist: ❐ Be sure the PmPPC7448 mod ule is seated firmly on the baseboard and t hat the baseboard is s eated firmly in the c ard cage. ❐ Verify the boot j umper settings (see Fig. 10-7 ). ❐ If booting fr[...]

  • Page 102

    Development Mezzanine Card: Troubleshooting PmPPC7448 User’s Manual 10006757-02 10-14 Emerson Net work Powe r Test and Re pair Servic es Depart ment 8310 Excelsio r Drive Madison, WI 53717 RMA #__________ __ Please put the RMA number on the outside of t he package so we can handle your problem efficiently. O ur service departmen t cannot ac cept [...]

  • Page 103

    10006757-02 P mPPC7448 User’s Manual 11-1 Section 11 Monitor The PmPPC7448 moni tor is based on the Uni ver sal Boot (U-Boot) program, available under the GNU General Public License (GPL). Fo r instru ctions on ho w to obtain the source code for this GPL progra m, please vis it http://www . emersonembeddedcomputi ng.com, send an e- mail to suppor[...]

  • Page 104

    Monitor: Basic Operation PmPPC7448 User’s Manual 10006757-02 11-2 Figure 11-1: Exampl e Monitor Start-up Disp lay BASIC OPERATION The PmPPC7448 mon itor performs various conf igura tion tasks upon power-up or reset. This section desc ribes the monitor operation durin g initializati on of the PmPPC7448 board. The flowchart ( see Fig. 11-2 ) illust[...]

  • Page 105

    Monitor: Basic Operation 10006757-02 P mPPC7448 User’s Manual 11-3 Figure 11-2: Power-up/Reset Sequence Flowchart RESET Initialize HID0 Initialize MSR Relocate the base of the MV64460 internal registers 7448 floating point register initialization 7448 BAT initialization Invalidate the L2 cache Invalidate and enable the L1 cache Setup initial stac[...]

  • Page 106

    Monitor: Monitor Recovery and Updates PmPPC7448 User’s Manual 10006757-02 11-4 POST Diagnostic Results The PmPPC7448 Powe r-On Self-Test (POST ) diagnostic results ar e stored as a 32-bit valu e in I 2 C NVRAM at the offset 0x1DD8-0x1DDB. Each bi t indicates the resul ts of a specific test, therefore this field can store the results of up to 32 d[...]

  • Page 107

    Monitor: Monitor Recovery and Updates 10006757-02 P mPPC7448 User’s Manual 11-5 1 Issue the following com mand, where serial_number is the board’s serial numb er, at the monitor prompt: PM/PPC-7448 (1.8) => moninit serial_num ber If the monitor recovers, skip to step 5. If monini t() fails, continue on to t he next step. 2 Perform the foll o[...]

  • Page 108

    Monitor: Monitor Recovery and Updates PmPPC7448 User’s Manual 10006757-02 11-6 PM/PPC-7448 (1.8) => moninit serial_num ber 100000 If moninit( ) fails, bu rn the new monitor to a ROM and fol low the recovery steps in “Recov- ering the Monitor” on page 11 -4. Restoring the PmPPC7448 Monitor Using the KatanaQP To restore the PmPPC7448 m onito[...]

  • Page 109

    Monitor: Monitor Recovery and Updates 10006757-02 P mPPC7448 User’s Manual 11-7 KatanaQp(1.0.a) => protect off e8100000 e8a3ffff KatanaQp(1.0.a) => erase e8100000 e8a3f fff KatanaQp(1.0.a) => cp.b 90100000 e81000 00 200000 6 From the KatanaQP console, compare the copi ed data to the original. KatanaQp(1.0.a) => cmp.b 90100000 e8100 00[...]

  • Page 110

    Monitor: Accessing the Console Over Ethernet PmPPC7448 User’s Manual 10006757-02 11-8 ACCESSING THE CONSOLE OVER ETHERNET To interact with the monitor command line ov er Ethernet, use the Ne tConsole feature built into the monitor an d an appropriate clien t application. Th is feature sends and rec eives UDP packets to an d from a design ated hos[...]

  • Page 111

    Monitor: Boot Commands 10006757-02 P mPPC7448 User’s Manual 11-9 Command S yntax The monitor uses the fo llowing basic command syn tax: <Command> <argument 1> <argument 2> <ar gument 3> • The command l ine accepts three different argument format s: string, numeric, and symbolic. All command argument s must be separated b[...]

  • Page 112

    Monitor: Boot Commands PmPPC7448 User’s Manual 10006757-02 11-10 bootelf The bootelf command boots from an ELF image in memory, where address is the load address of the ELF im age. DEFINITION: bootelf [addr ess] bootm The bootm command boots an appl ication image st ored in memory, passing any entered arguments to the called ap plicatio n. When b[...]

  • Page 113

    Monitor: Memory Commands 10006757-02 P mPPC7448 User’s Manual 11-11 dhcp The dhcp command invokes a Dynamic Host Configuration Prot ocol (DHCP) client to obtain IP and boot parameters by sendin g ou t a DHCP request and wa iting for a response from a server. DEFINITION: dhcp [load ad dress] [bootfilename] rarpboot The rarpboot command boots an im[...]

  • Page 114

    Monitor: Memory Commands PmPPC7448 User’s Manual 10006757-02 11-12 DEFINITION: cmp [.b, .w, .l] addr1 addr2 count cp The cp command copies count objects located at the source address to the target address. If the target address is located in th e range of the Flash dev ice, it will pro gram the Flash with count objects from th e source address. T[...]

  • Page 115

    Monitor: Memory Commands 10006757-02 P mPPC7448 User’s Manual 11-13 mm The mm command modifies me mory one objec t at a time. Once started, the command line prompts for a n ew value at th e starting a ddress. After a ne w value is entered, pressing ENTER auto-increments the a ddress to the next locati on. Pressing ENTER with out entering a new va[...]

  • Page 116

    Monitor: Flash Commands PmPPC7448 User’s Manual 10006757-02 11-14 00080070: ffffffff ffffffff ffffffff ff ffffff ................ FLASH COMMANDS The Flash commands affect the StrataFlash devic es on the PmPPC7448 circui t board. There is one Flash ba nk on the PmPPC7 448 board. The followi ng Flash comman ds access the ind i- vidual Flash b ank a[...]

  • Page 117

    Monitor: EEPROM/I2C Commands 10006757-02 P mPPC7448 User’s Manual 11-15 protect The protect com m and enables or disables the Flash se ctor protection for the specified Flash sector. Protection is implemented using soft ware only. The protec tion mechanism inside the physical Flash part i s not being used. DEFINITION: Protect all of the Flash sec[...]

  • Page 118

    Monitor: EEPROM/I2C Commands PmPPC7448 User’s Manual 10006757-02 11-16 eeprom read devaddr addr off cnt eeprom write devaddr addr off cnt icrc32 The icrc32 computes a CRC32 checksum. DEFINITION: icrc32 chip a ddress[.0, .1, .2] count iloop The iloop command reads in an i nfinite loop on the specified address range. DEFINITION: iloop chip ad dress[...]

  • Page 119

    Monitor: Environment Parameter Commands 10006757-02 P mPPC7448 User’s Manual 11-17 ENVIRONMENT PARAMETER COMMANDS The monitor uses on-boa rd, non-volatile memo ry for the storage of environment parame- ters. Environment parameters are stored as ASCII strings with the following format. <Parameter Name>=<Parameter Value> Some enviro nme[...]

  • Page 120

    Monitor: Other Commands PmPPC7448 User’s Manual 10006757-02 11-18 diags The diags command runs th e Power-On Self-Test (POST). DEFINITION: diags mtest The mtest command perf orms a simp le SDRAM read/write test. DEFINITION: mtest [start [end [pattern]]] um The um command is a destructive memory test. The te st will repeat indefinitely unless the [...]

  • Page 121

    Monitor: Other Commands 10006757-02 P mPPC7448 User’s Manual 11-19 DEFINITION: coninfo crc32 The crc32 command computes a CRC32 checksum on count bytes starting at addre ss . DEFINITION: crc32 address count date The date command will set or get the dat e and time, and reset the real-time clock (RTC) device. DEFINITION: Set the date and time. date[...]

  • Page 122

    Monitor: Other Commands PmPPC7448 User’s Manual 10006757-02 11-20 help The help (or ? ) command displays the online help. Wi thout arguments, al l commands are displayed wi th a short usage m essage for each. To obtain mo re detailed i nformation fo r a specific command, enter the de sired command as an ar gument. DEFINITION: help [command …] i[...]

  • Page 123

    Monitor: Other Commands 10006757-02 P mPPC7448 User’s Manual 11-21 moninit <serial#> <src_address> pci The pci command enumerates the PCI bus i f the Pm PPC7448 is the Monarch boa rd. It dis- plays enumeration information about each detected device. The pci command allows y ou to display v alues for and acc ess the PCI Co nfiguratio n[...]

  • Page 124

    Monitor: Environment Variables PmPPC7448 User’s Manual 10006757-02 11-22 script The script command runs a list of moni tor commands out of memory. The list is an ASCII string of commands separated by the ; character and terminated with the ;; chara c- ters. <script address> is the starting locati on of the script. Note: A script is limited [...]

  • Page 125

    Monitor: Environment Variables 10006757-02 P mPPC7448 User’s Manual 11-23 bootfile " " Path to boot file on serv er (used with TFTP)—set thi s to the “path/file.bin” to specify f ilename and location of the file to load. bootretry -1 Choose the number of seconds the Monitor counts down before booting user application code (in conj[...]

  • Page 126

    Monitor: Environment Variables PmPPC7448 User’s Manual 10006757-02 11-24 The monitor supports optional environment v ari ables that e nable addition al functionalit y. The moninit command ( see “moninit” on pa ge 11-20) only affe cts the standard environ- ment variables and does not set any paramet ers for these optional variables. Table 11-3[...]

  • Page 127

    Monitor: Troubleshooting 10006757-02 P mPPC7448 User’s Manual 11-25 1. The monin it command d oes not initial ize these variab les. Each paramet er is only define d if a change from the default se tting is desi red and is not defined after initializat ion of the configu ration variable s. TROUBLESHOOTING To bypass the full board in itialization s[...]

  • Page 128

    PmPPC7448 User’s Manual 10006757-02 11-26 (blank page )[...]

  • Page 129

    10006757-02 P mPPC7448 User’s Manual 12-1 Section 12 Acronyms ASCII American Standard Code for Information Interchang e CPLD Complex Programmable Logic Device CPM Communication Processor Module cPSB Compact Packet Switched Backplane CPU Central Processing Unit CSA Canadian Standards Associat ion DDR Double Data Rate DMC Development Mezzanine Card[...]

  • Page 130

    Acronyms: PmPPC7448 User’s Manual 10006757-02 12-2 RMA Return Merchandise Authorizat ion RTC Real-time Clock SDRAM Synchronous Dynamic Random Access Memory SO-DIMM Small-outl ine Dual In-line Memo ry SPD Serial Presence Detect SROM Serial Read Only Memor y UART Universal Asynchronous Receiver/Transmitter UL Underwriters Laborat ories USB Universa[...]

  • Page 131

    10006757-02 P mPPC7448 User’s Manual i-3 Index A acronyms . . . . . . . . . . . . . . . . . . . 12-1 address map, PCIO examples . . . . . 5-6 air flow rate . . . . . . . . . . . . . . . . . . . 2-9 B baud rate generator (BRG) registers 8-1 binary download format . . . . . . .11-25 block diagram general system . . . . . . . . . . . . . . 1-3 monit[...]

  • Page 132

    Index (continued) PmPPC7448 User’s Manual 10006757-02 i-4 environment parameter commands 11-17 environment variables . . . . . .11-22 Flash commands . . . . . . . . . . .11-14 Flash programming . . . . . . . . . 11-1 memory commands . . . . . . . .11-11 Motorola S-record . . . . . . . . .11-25 other commands . . . . . . . . . .11-18 power-up/rese[...]

  • Page 133

    Index (continued) 10006757-02 P mPPC7448 User’s Manual i-5 SDRAM controller . . . . . . . . . . . . 5-2 SROM . . . . . . . . . . . . . . . . . . . . . 4-2 timer/counters . . . . . . . . . . . . . . 5-4 two-wire se rial interfa ce (TWSI) .8 - 2 T table of contents . . . . . . . . . . . . . . . ii-iii tables, list of . . . . . . . . . . . . . . . .[...]

  • Page 134

    PmPPC7448 User’s Manual 10006757-02 i-6 (blank page )[...]

  • Page 135

    10006757-02 P mPPC7448 User’s Manual Notes ____________ __________ _____________ _____________ __________ ____________ ___________ ___________ ____________ __________ _____________ _____________ __________ ____________ ___________ ___________ ____________ __________ _____________ _____________ __________ ____________ ___________ ___________ _____[...]

  • Page 136

    Emerson Netwo rk Power, Embedded Co mputing 8310 Excelsior Drive ■ Madison, WI 53717-1935 USA US Toll Free: 1-800-356-9602 ■ Voice: +1-608-831-5500 ■ FAX: 1-608-831-4249 Email: info@ar tesyncp.com www.emersonembeddedcomputing.com Business-Critical Continuity, Emerson Network Power and the Emerson Network Power lo go are trademarks and service[...]