Xilinx 1.8 manual

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

Ir a la página of

Buen manual de instrucciones

Las leyes obligan al vendedor a entregarle al comprador, junto con el producto, el manual de instrucciones Xilinx 1.8. La falta del manual o facilitar información incorrecta al consumidor constituyen una base de reclamación por no estar de acuerdo el producto con el contrato. Según la ley, está permitido adjuntar un manual de otra forma que no sea en papel, lo cual últimamente es bastante común y los fabricantes nos facilitan un manual gráfico, su versión electrónica Xilinx 1.8 o vídeos de instrucciones para usuarios. La condición es que tenga una forma legible y entendible.

¿Qué es un manual de instrucciones?

El nombre proviene de la palabra latina “instructio”, es decir, ordenar. Por lo tanto, en un manual Xilinx 1.8 se puede encontrar la descripción de las etapas de actuación. El propósito de un manual es enseñar, facilitar el encendido o el uso de un dispositivo o la realización de acciones concretas. Un manual de instrucciones también es una fuente de información acerca de un objeto o un servicio, es una pista.

Desafortunadamente pocos usuarios destinan su tiempo a leer manuales Xilinx 1.8, sin embargo, un buen manual nos permite, no solo conocer una cantidad de funcionalidades adicionales del dispositivo comprado, sino también evitar la mayoría de fallos.

Entonces, ¿qué debe contener el manual de instrucciones perfecto?

Sobre todo, un manual de instrucciones Xilinx 1.8 debe contener:
- información acerca de las especificaciones técnicas del dispositivo Xilinx 1.8
- nombre de fabricante y año de fabricación del dispositivo Xilinx 1.8
- condiciones de uso, configuración y mantenimiento del dispositivo Xilinx 1.8
- marcas de seguridad y certificados que confirmen su concordancia con determinadas normativas

¿Por qué no leemos los manuales de instrucciones?

Normalmente es por la falta de tiempo y seguridad acerca de las funcionalidades determinadas de los dispositivos comprados. Desafortunadamente la conexión y el encendido de Xilinx 1.8 no es suficiente. El manual de instrucciones siempre contiene una serie de indicaciones acerca de determinadas funcionalidades, normas de seguridad, consejos de mantenimiento (incluso qué productos usar), fallos eventuales de Xilinx 1.8 y maneras de solucionar los problemas que puedan ocurrir durante su uso. Al final, en un manual se pueden encontrar los detalles de servicio técnico Xilinx en caso de que las soluciones propuestas no hayan funcionado. Actualmente gozan de éxito manuales de instrucciones en forma de animaciones interesantes o vídeo manuales que llegan al usuario mucho mejor que en forma de un folleto. Este tipo de manual ayuda a que el usuario vea el vídeo entero sin saltarse las especificaciones y las descripciones técnicas complicadas de Xilinx 1.8, como se suele hacer teniendo una versión en papel.

¿Por qué vale la pena leer los manuales de instrucciones?

Sobre todo es en ellos donde encontraremos las respuestas acerca de la construcción, las posibilidades del dispositivo Xilinx 1.8, el uso de determinados accesorios y una serie de informaciones que permiten aprovechar completamente sus funciones y comodidades.

Tras una compra exitosa de un equipo o un dispositivo, vale la pena dedicar un momento para familiarizarse con cada parte del manual Xilinx 1.8. Actualmente se preparan y traducen con dedicación, para que no solo sean comprensibles para los usuarios, sino que también cumplan su función básica de información y ayuda.

Índice de manuales de instrucciones

  • Página 1

    R LogiCORE™ IP Endpoint Bloc k Plus v1.8 f or PCI Express® Getting Star ted Guide UG343 J une 27, 2008[...]

  • Página 2

    www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Xilinx is disclosing this user gui de, manual, rel ease note, and/or sp ecification (the "Documentation") to y ou solely f or use in the de v elopment of designs to operate with Xilinx hardw are de vices. Y ou may not re produce, distribu te, repub lish, downlo[...]

  • Página 3

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com UG343 June 27, 2008 Preface: About This Guide Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [...]

  • Página 4

    www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Dual Core Example De sign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Dual Core Directory Structure and Fi le Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 <component name>/example[...]

  • Página 5

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 5 UG343 June 27, 2008 R Pr eface About This Guide The Endpoint Block Plus for P CI Ex pres s® Getting Started Gu ide provides information about generating an Endpoint Block Plus for PCI Expr ess (PCIe ® ) cor e, customizing and simulating the cor e using the pr ovided example design, and [...]

  • Página 6

    6 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Preface: About This Guide R Online Document The following li nking conventions are used in this document: Italic font Refer ences to other manuals See the User Guide for details. Emphasis in text If a wire is drawn so that it overlaps the pin of a symbol, the two nets [...]

  • Página 7

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 7 UG343 June 27, 2008 R Chapter 1 Intr oduction The Endpoint Block Plus for PCI Express is a high-bandwidth , scalable, and r eliable serial interconnect building block for use with V irtex™-5 FPGA devices. This cor e supports V erilog® and VHDL. The example design described in thi s gui[...]

  • Página 8

    8 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 1: Introd uction R performance, pipelined FPGA designs using Xilinx implementation softwar e and User Constraints Files (U CF) is recommended. Additional Core Resour ces For detailed informati on and updates about the cor e, see the fol lowing documents, availa[...]

  • Página 9

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 9 UG343 June 27, 2008 Feedbac k R Document For comments or suggestions about this do cument, please submit a W ebCase from www .xilinx.com/support . Be sure to include the following information: • Document title • Document number • Page number(s) to which your comments r efer • Expl[...]

  • Página 10

    10 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 1: Introd uction R[...]

  • Página 11

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 11 UG343 June 27, 2008 R Chapter 2 Licensing the Cor e This chapter provided licensing options for th e E ndpoint Block Plus for PCI Expr ess cor e, which you must do bef ore using the core in your designs. The cor e is pr ovided under the terms of the Xilinx LogiCORE Site License Agre emen[...]

  • Página 12

    12 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 2: Licensing the Core R Obtaining Y our License Simulatio n Only Eva luation License The Simulation Only Evalua tion license is pr ovided with the CORE Generator system and requir es no license file. Obtaining a Full License T o obtain a Full license, you must[...]

  • Página 13

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 13 UG343 June 27, 2008 R Chapter 3 Quickstart Example Design This chapter provides an overview of the Endpoi nt Block Plus for PCI Ex press example design (both single and dual cor e) and instructio ns for generating the co re. It also includes information about simulati ng and implementing[...]

  • Página 14

    14 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Figure 3-1: Simula tion Example Design Bloc k Diagram Test Program Endpoint DUT for PCI Express PCI Expr ess Fabric Endpoint Core for PCI Expr ess PIO Design dsport usrapp_tx usrapp_com usrapp_r x Output Logs Downstream Port Mod[...]

  • Página 15

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 15 UG343 June 27, 2008 Overvie w R Implementation Design Ov er vie w The implementation design consists of a simple PIO example that can accept r ead and write transactions and respond to requests, as illustrated in Figure 3-2 . Sour ce code for the example is provided with the core. For mo[...]

  • Página 16

    16 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Generating the Core T o generate a core using the default values in the CORE Generator Graphical User Interface (GUI), do the following: 1. Start the CORE Generator . For help starting and using the CORE Ge nerator , see the Xil[...]

  • Página 17

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 17 UG343 June 27, 2008 Generating the Core R 4. Set the project options: From the Part tab, select the following options: • Family : V irtex5 • Device : xc5vlx50t • Package : ff1 1 36 • Speed Grade : -1 Note : If an unsupported silicon devi ce is sele cted, the core is dimmed (unava[...]

  • Página 18

    18 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Sim ulating the Example Design The example design pr ovides a quick way to si mulate and observe the behavior of the cor e. The simulation environment pr ovided with th e Block Plus cor e performs simple memory access tests on t[...]

  • Página 19

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 19 UG343 June 27, 2008 Implementing th e Example Design R 2. Run the script that corresponds to your si mulation tool using one of the following: • VCS : simulate_vcs.sh • Cadence IUS : simulate_ncsim.sh • ModelSim : vsim -do simulate_mti.do Implementing the Example Design After gener[...]

  • Página 20

    20 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R • routed.sdf T iming model Sta ndard Delay File. • mapped.mrp Xilinx map report. • routed.par Xilinx place and route r eport. • routed.twr Xilinx timing analysis report. Th e sc ri pt f ile sta rt s fro m an ED IF/ NG C [...]

  • Página 21

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 21 UG343 June 27, 2008 Directory Structure and File Conten ts R <project director y> The project dir ectory contains all the CORE Generator project files . <project director y>/<component name> The component name directory contains the re lease notes r eadme file pr ovided[...]

  • Página 22

    22 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R <component name>/e xample_design The example design dir ectory contains the ex ample design files pr ovided with the cor e. <component name>/implement The implement directory contains the cor e implementation script [...]

  • Página 23

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 23 UG343 June 27, 2008 Directory Structure and File Conten ts R implement/results The results dir ectory is created by the implem ent script, after which the implement script resul ts are placed in the r esults dir ectory . <component name>/simulation The simulation directory contai n[...]

  • Página 24

    24 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R simulation/dspor t The dsport dire ctory contains the data stre am simulation scripts provided with the core. simulation/functional The functional directory contains functional simulation scripts pr ovided with the core. T able [...]

  • Página 25

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 25 UG343 June 27, 2008 Dual Core Example Design R simulation/tests The tests dir ectory contains test definiti ons for the example te st bench. Dual Core Example Design The dual core example design can be used as a starting point for desi gns with multiple V irtex-5 FPGA PCI Expr ess blocks[...]

  • Página 26

    26 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Dual Core Director y Structure and File Contents W h e n g e n e r a t i n g t h e B l o c k P l u s c o r e w i t h the V irtex-5 FX70T -FF1 136 (XC5VFX70T -FF1 136) FPGA, the PIO example design source files and s cripts are ge[...]

  • Página 27

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 27 UG343 June 27, 2008 Dual Core Example Design R <component name>/e xample_design The example design dir ectory includes the du al core example design ucf, which varies based on the device selected . e xample_design/dual_core The dual core dir ectory contains the top-leve l and wrapp[...]

  • Página 28

    28 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R simulation/functional The functional directory contains the dual cor e example design simulation scripts. <component name>/implement The implement directory contains the dual co re example design implementation script file[...]

  • Página 29

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 29 UG343 June 27, 2008 R Appendix Additional Design Considerations P acka ge Constraints This appendix describes design consi derations specifi c to the Endpoint Block P lus for PCIe core. Ta b l e A - 1 lists the smallest supported devic e an d interface combinations for the Block Plus cor[...]

  • Página 30

    30 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Appendix Appendix: Additional Design Considera tions R[...]